展示品99.6

LOGO

DUV製品とビジネスチャンスロン·クール執行副総裁業務ラインDUV ASML ASML雑談 2021年投資家日仮想


LOGO

DUV製品とビジネスチャンスキー情報スライド2,9月29日2021年のDUV需要は過去最高を記録し、高度かつ成熟した細分化市場に推進され、予測可能な未来に強力を維持することが予想される。高度論理とメモリ市場の技術革新は続く。著者らはすべてのbr波長の路線図を拡張し、NXTプラットフォームの性能と仕事効率を改善し、業界のコストとエネルギー効率を支持して成熟市場を拡張し、複数のMooreアプリケーションを含む成長機会を提供し、方法はXT製品の組み合わせと解決方案を結合し、電源設備、センサーなどの細分化市場の特定の需要を満たし、目的は顧客の設置基礎を最適化し、付加価値サービスと生産効率と性能アップグレードを結合し、更に付加価値サービス公衆を重視することである


LOGO

市場高度論理とメモリ成熟論理とシミュレーションノード,およびモル市場を超えるクライアント群 共有


LOGO

強力な市場、プロセス複雑性の増加とインストール基数増加スライド4 9月29日の推進の下で、DUV業務の将来性は著しく改善された。2021 2018 DUV実装基数20%DUV実装基数50%2025 2018 50%80%システム2018我々の予測仮説は,EUVの採用により,市場増加は歴史的傾向と一致し,浸漬需要は 公共を減少させる


LOGO

強力な市場、プロセス複雑性の増加とインストール基数増加スライド5 9月29日の推進の下で、DUV業務の将来性は著しく改善された。2021 2021 DUVクライアント群DUVクライアント群30%25%2025 2021 70%75%DUVシステムDUVシステム駆動要因技術革新、プロセス複雑性の増加はすべての波長に対するより多くの需要、および顧客群ビジネスチャンス公衆の増加を含む


LOGO

DUV多くの細分化市場向け専門メモリスライド6成熟ロジック9月29日2021 300 mm 300 mm 200 mmサブセグメント論理300 mmシミュレーションフロントエンドにより多くのモル?特殊応用成熟300 mm?超モル?200 mmプレミアムパワー300 mm(Σ40 nm)MPUセグメント150 mm(GB 28 Nm)非EUV光学センサEUV 300 mm光学ARFIセンサDRAM ARF 300 mm KRF 300 mmプレミアム i-Line Pack G NANDソース:ASML Public


LOGO

DUVとEUV Slide 7 IR 2021年9月のKRF論理層スタック5 nm 3 nm 2 nm~1.5 nm 1 nm KRF DRAM EUVに押されて,リソグラフィ技術のフォトリソグラフィ層への投影層数が増加した高NA層スタック1 A 1 B 1 C 0 A 0 B EUV DUV KRF 3 D-NAND層スタック176 L 2 XXL 3 xxL 4 xxL 5 xxL 2021~2030ソース:ASML Public


LOGO

私たちの端末市場は日々相互に依存しており、完全な解決策組合せスライド8 9月29日が必要です。 2021 EUV 150 mm ARFIシミュレーション200 mm ARF LNA電力分集中/高光学130 nmセンサ180 nm KRFロジック150 mm口径チューナ非光学I−Lineセンサ45 nm 5 nm論理180 nm共通


LOGO

DUV製品の組み合わせはすべての細分化市場をサポート9月29日スライド2021波長NA,半音高2020 2021 2022 2023 2024 2025 NXT:2000 i NXT:2050 i NXT:2100 i次臨界2.0 nm|275 wph 1.5 nm|295 wph 1.3 nm|295 wph ARFI 1.35 NA,38 nm NXT:1980 Ei NXT:1980 Ei NXT:1980 Fiにおける臨界2.5 nm|275 wph 2.5 nm|295 wph 2.5 nm|330 wph XT:1460 K XT 5 nm|205 wphまたは7.5 nm|228 wARF 0.93 NA,nm NXT:1470 NXT|300 wXT 0.9380 nm XT:860 K XT:1060 K+PEP 5 nm|205 wph 5 nm|220 wph XT:860 M XT:860 N KRF XT 7 nm**|240次NXT 7.5 nm|260 wph 0.80 NA,110 nm NXT:870 NXT 7.5 nm|330 wphI-line 0.65 nA,220 nm XT:400 L XT:400 m Next 20 nm*|230 wph 20 nm***|250 wph 27%30%34%5%製品:適合機カバー(Nm)|スループット(WPH)95%66%70% 製品状態リリース開発定義**ウエハ内場共通


LOGO

NXT移行はKRFとARFの生産効率を著しく向上させた9月10日29日。2021 260チップあたりKRF XT:860 N NXT:870 2021 2022時間46%300チップあたり205 ARF NXT:1470最初のリソグラフィシステム>300 wph XT:1460 NXT:1470 2019 2021


LOGO

工場生産量とスキャナ生産性を向上させることで顧客のウエハ需要を支援する。2021年(WPH)品質~1.7倍成長潜在力毎年2019年2020年には西豪生産力向上システムをx能力システムとして超える


LOGO

市場高度論理とメモリ成熟論理とシミュレーションノード,およびモル 市場を超えるパブリッククライアント群


LOGO

NXT:2050 i量産でお客様に20%のオーバーレイ改善、より速い信頼性、生産性を提供スライドアップ13月29日2021年NXT:2050 iマッチング機専用チャックカバー~1.2 nmカバー~0.8 nm 6000 5000スライス/日18日200 180時間信頼性180 5000 NXT:2050 i 160 より速い坂道140より高可用性日4000/120(時間)3000 100ウェハMTBI 80 2000 60 40 1000 20 0 1 2 2 3 4 5 5 6 6 7 11 12 15 15 16 11 11 12 15 16 17 18 19 19 20 21 22 23 NXT:2000 i 1 2 3 4 5 5 7 8 9 11 13 14 15 16 17 18 19取り付け完了後19日


LOGO

典型的なDRAMアプリケーションスライド14 29の場合、NXT:2100 iは、製品カバーにおいてNXT:2050 iよりも20%向上する。2021 Reticleプロセッサの方が高速で、調節が低いネット版からネット版へ温度変化投影光学技術はレンズと交差整合ウエハメサ制御を改善し、積層と寿命を改善し、2 D刻み段階グリッド校正整列12色を改善し、標線負荷エラーの影響を減少させ、65個のマーク、小マーク、積層レイアウトに結合した光学センサはスキャナを改善し、カメラと熱測定ソフトウェア調整を改善し、積層NXTの設定再生を改善した:2100 iスループット≡295 wph生産力MMO GB 1.3 nm積層GB 1.4 nm積層体上にGB 1.4 nm(DRAM)共通積層


LOGO

NXTプラットフォームは資本投資を減少させ、ウエハ工場空間NXTは17%の敷地面積を減少させ、毎月10万枚のウエハ 9月15日29日の生産を開始した。2021使用例:100 Kwspm 5 ARF(幹)20 KRF層172 m?5 x XT:1460 K(205 Wph)140 m?4 x NXT:1470(300 Wph)ARF(幹)ARF(幹)482 m?14 x xxt:860 N(260 Wph)421 m?12 x NXT:870(330 Wph)KRF KRF合計654 m?561 m


LOGO

市場先進的論理とメモリ成熟論理とシミュレーションノード,およびモル 市場実装を超える公共基盤


LOGO

我々は成熟した論理とシミュレーションノードの成長をサポートし,同じプロセス能力スライド 9月17日と29日に約30%の作業効率向上を提供する.2021 350 NXT:1980 Fi 300 XT:860 N XT:400 M 250 XT:1460 K NXT:1960デュアル時間200 XT:860 H XT:400時間毎XT:1450 Hウェハ150 100 50 NXT 0 2013 2023 2013 2023 2013 2023 Arfi ARF KRF I-Line公共


LOGO

成熟市場:既存の と新しいスライド18/29の推進の下で、差別化応用空間は短期と長期的に強い成長を期待している。2021基板を用いて成熟光非?光パワーサイズをシミュレートする[Mm]厚さ[µm]論理センサ厚300 mm規格(775)シリコン薄ガラス厚AlTiC GaN on Si 200 mm 規格(725)Si Si SiGe SOI Si薄ガラスセラミック炭化シリコン厚150 mm規格(675)Si SiGe SOI Siセラミック薄GaAs材料:Si:Silicon,AlTiC:Al−TiC−C,GaN:GaN,SiGe:SiGe,SIC:SiGe 炭化物,SOI:シリコン上絶縁体,GaAs:GaN:GaN


LOGO

XTプラットフォームは、アプリケーション固有の位置合わせおよびウエハ処理によって、モル市場 オプションスライド19/29を超えることをサポートする。2021 XTプラットフォーム:ARF、KRF、I-lineウエハ処理オプション整列オプションウエハサイズ:150/200/300 mm整列ガラスウエハ厚さ:0.3~1.5 mm裏面整列 材料:シリコン、GaN on Si、Sashは200 mmガラスまで延在し、セラミックパワー光学非光学センサ反りウエハメサの流れとバリピッチデバイス基板(厚さ)は多くの変化がある共通


LOGO

市場高度論理とメモリ成熟論理とシミュレーションノード,およびモル 市場を超えるパブリッククライアント群


LOGO

Over 1,000 systems exposed more than 1 Million wafers in 2020 16 systems exposing more than 2 million 300 mm wafers per year Slide 21 29 Sept. 2021 > 1.000.000 1.057 > 1.500.000 341 > 2.000.000 971 Wafers per year 862 Wafers per year Wafers per year 16 738 235 579 178 494 380 126 316 268 74 147 45 30 2 2 5 ‘11 ‘12 ‘13 ‘14 ‘15 ‘16 ‘17 ‘18 ‘19 ‘20 ‘11 ‘12 ‘13 ‘14 ‘15 ‘16 ‘17 ‘18 ‘19 ‘20 ‘11 ‘12 ‘13 ‘14 ‘15 ‘16 ‘17 ‘18 ‘19 ‘20 Foundry Memory


LOGO

顧客群生産性の機会円製品スライド22月22日。2021年機能強化 毎日ウエハサービスを実装して最短納期と投資で工場生産能力を拡大


LOGO

DUV没入型スマートアップロードマップシステムノード拡張パケット生産力パッケージスライド23システム モジュール化は、製造柔軟性クライアントが研究している9月29日を創出した。2021一致190 wph 230 wph 250 wph 275 wph 295 wph 330 wphマシンオーバーレイNXT:1950 i 5.5 nm NXT:1960 Bi 4.5 nm NXT:1965 Ci 4.5 nm NXT:1970 Ci 3.5 nm NXT:1980 Di NXT:1980 Ei NXT:1980 Fi 2.5 nm NXT:2000 i 2.0 nm NXT:2050 i 1.5 nm NXT:2100 i 1.3 nm


LOGO

サービスおよびアップグレードは、NXTスキャナ寿命スライド24月29日のツールマスターアップグレードの価値および使用寿命を延長します。2021 システムサービスアップグレードPEPソフトウェア例:NXT:1960 bi SNEP UVLSアップグレード収入サービス収入システム収入2009 2010 2011 2012 2013 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024 2026 2027 2028 2029 2030 2031 2032 2033 2034 2035 2036 203 7 2038製品成熟度UVLS:UVレベルセンサ、SNEP:システムノード拡張パッケージ、PEP:生産力強化パッケージ共通


LOGO

DUV製品とビジネスチャンスキー情報スライド25/292021年のDUV需要は過去最高を記録し、高度かつ成熟した細分化市場に推進され、予測可能な未来に強力を維持することが予想される。高度論理とメモリ市場の技術革新は続く。著者らはすべての波長の路線図を拡張し、NXTプラットフォームの性能と生産効率を改善し、業界のコストとエネルギー効率を支持して成熟市場を拡張し、複数のMooreアプリケーションを含む成長機会を提供し、XT製品の組み合わせと電源設備、センサーなどの細分化市場の特定の需要を解決する解決方案を結合し、私たちの顧客の設置基礎を最適化し、付加価値サービスと生産力と性能アップグレードの結合を更に重視することを目的とした


LOGO

前向きな声明スライド26,292021本プレゼンテーションには、予想される純売上高、毛金利、研究開発コスト、SG&Aコストおよび有効税率、2025年の年間収入機会、2025年の財務モデルと仮定、予想成長率と駆動要因、予想成長(2020-2025年と2020-2030年の成長率を含む)、潜在市場総量、2025年以降の成長機会とリソグラフィ、計量·検出システムの予想年間成長率、および設置基地管理の予想年間成長率を含む予想業界およびビジネス環境傾向に関する陳述が含まれる。2030年までのアドレス指定可能市場の予想傾向、論理およびメモリの予想傾向、収入機会、長期成長機会と見通し、需要および需要駆動要因の予想傾向、システムおよびアプリケーションの期待利益と性能、半導体端末市場傾向、半導体業界の予想成長、予想需要増加と今後数年間の資本支出、予想ウエハ需要増加とウエハ生産能力への投資、予想されるリソグラフィ市場需要と成長と支出、成長機会および駆動要因、EUVおよびDUV需要の予想傾向、販売、見通し、路線図、機会および生産能力の増加、および予想されるEUV採用、収益力、可用性、生産性と生産量および予想されるウエハ需要と価値向上、応用業務の期待傾向、設置基礎管理の期待傾向(予想収入と目標利益率を含む)、応用業務の期待傾向と増加機会、 に関する期待高正味現在価値、生産能力増加の期待、計画、戦略および戦略優先事項と方向、需要を満たすために生産能力、生産量および生産量を増加させる期待、ムーアの法則の変化を継続する期待、製品、技術、顧客路線図、および資本分配政策、配当および株式買い戻しに関する声明と意図、株式買い戻しと増加し続ける年間化配当金を介して株主に大量の現金を返す意図、およびESG承諾、持続可能な発展戦略、目標、措置、マイルストーンに関する声明を含む。これらの陳述は、一般に、可能性、すべき、プロジェクト、予想、予想、予測、潜在、志向、継続、目標、未来、進行、目標、これらの語、または同様の語の変異体を使用することによって識別することができる。これらの 陳述は歴史的事実ではなく、私たちの業務と未来の財務業績に対する現在の期待、推定、仮説と予測に基づいており、読者はそれらに過度に依存してはならない。展望性 陳述は未来の業績を保証できず、大量の既知と未知のリスクと不確定性に関連している。これらのリスクと不確定性は、経済状況、製品需要と半導体設備業界の生産能力、全世界の半導体需要と生産能力の利用率、半導体端末市場の傾向、全体の経済状況が消費者自信と顧客製品需要に与える影響、私たちのシステムの性能、新冠肺炎疫病が世界経済と金融市場に与える影響及び抑制措置を含むが、これらに限定されない, ASML及びその顧客及びサプライヤー、並びにASMLの販売及び毛金利に影響を与える可能性のある他の要因は、顧客需要及びASMLがその製品の供給を得る能力、研究開発計画及び技術進歩の成功、並びに新製品開発の速度及び顧客の新製品に対する受け入れ及び需要、生産能力及び需要を満たすための生産能力の向上、発注、出荷及び収入確認システムの数量及び時間、並びに注文キャンセル又は延期のリスクを含む。我々のシステムの生産能力は、システム生産とサプライチェーン生産能力遅延のリスク、制限、不足と中断、半導体業界の傾向、私たちが特許と知的財産権を保護する能力及び知的財産権紛争と訴訟の結果、原材料、肝心な製造設備と合格従業員の可用性及び労働市場の傾向、地政学的要素、貿易環境を含む。輸出入および国家安全規制および注文およびそれが私たちに与える影響、持続可能な開発目標を達成する能力、為替レートおよび税率の変化、利用可能な流動性および流動性要件、債務再融資のための私たちの能力、配当支払いおよび株式買い戻しに利用可能な現金および分配可能な備蓄、ならびに配当支払いおよび株式買い戻しに影響を与える他の要因、株式買い戻し計画の結果、およびASMLの12月31日までの年間20-F表に含まれるリスク要因に示される他のリスク要因, 2020年にアメリカ証券取引委員会に提出された他の書類と書類。これらの前向きな陳述は,本文書の発行日からのみ行われる.法律の要件を除いて、私たちは、本報告日の後に任意の前向きな陳述を更新すること、またはそのような陳述を実際の結果または修正された予想に適合させる義務がない。公衆


LOGO

2021年ASML投資家日仮想