展示品99.5

LOGO

アプリケーション製品とビジネスチャンスジム·昆門実行副総裁ビジネスラインアプリケーション


LOGO

アプリケーション、製品、ビジネスの重要な情報スライド2、9月29日2021年のアプリケーション事業は、2020年から2025年にかけて約20%の複合年間成長率で成長すると予想されており、毛率が強いです。アプリケーション製品の組み合わせはASMLスキャナ業務をサポートしており、これは、構図を最大化するためのお客様の独自の能力(Br)エッジ配置誤差(EPE)性能の改善がリードする光学とを提供しているおかげです電子ビーム測定と検出はASMLの完全な製品の組み合わせを全体のリソグラフィ解決方案に統合し、リソグラフィ技術の主要な駆動要素を最適化と制御することは著者らのEPE路線図の拡張である:新しいbr測定、検出と制御製品拡張路線図の革新製品結合計算技術、YeldStarカバー測定と電子ビーム 測定ハードウェアとソフトウェア製品支持EUVをHVM計算リソグラフィと欠陥検出領域に導入する深さ学習の新しい応用は性能共通 の向上を推進する


LOGO

EPE電子ビーム検査の改善を推進する市場と製品路線図


LOGO

アプリケーションの成長機会は,キー市場細分化市場の技術転換,スライド4,9月29日からである.2021 工業ドライバ技術遷移解像度スループット高解像度単ビーム/光学マルチビーム百万分の数故障検出測定光学積層体:画像の精度に基づいて回折光学および電子ビーム精密光学 積層体:ターゲット機器計量大規模計量電子ビーム:小視野大場市場TAMS物理モデル深さ学習計算モデル精度矩形自由形状マスクリソグラフィ計算コスト4.0億CPU混合/GPU計算3.3 B/CD EPEスキャナとEUVからHVMへの移行HVM:DUV DUV+EUVプロセス制御ソフトウェア高級補正低次スキャナ補正2020 2017 2025共通共通HVMTAM ASML解釈に基づくVLSI研究とGartner


LOGO

計測、検証、グラフィック制御路線図スライド5 9月29日2021 2020 2021 2022 2023 2024Σ2025スキャナインターフェース追加スキャナ駆動(DUVとEUV)、EPE制御と制御ソフトウェアカバー高速段階計量、多波長、計算計量、YeldStar装置内計量電子ビーム高解像度、大視野、計量大規模計量、EPE計量電子ビーム欠陥 マルチビーム、高速と精確な段階、高着陸エネルギー、誘導検査計算改善されたモデル精度、逆OPC、リソグラフィ機と深さ学習、エッチングモデル共通


LOGO

EPEの改善を推進する市場と製品路線図全体のリソグラフィ電子ビーム検出


LOGO

我々の製品全体の組合せは従来よりも重要なスライド7 29リソグラフィスキャナである.2021プレミアム 制御能力EUV:NXEおよびDUV:XTおよびエッチングおよびEXEプラットフォームNXTプラットフォーム堆積ツールプロセスウィンドウプロセスウィンドウ予測および制御強化重畳光学近接補正CD YeldStar 電子ビーム計算リソグラフィ光学計量学と計算計量学電子ビーム計測学電子ビーム検出プロセスウィンドウ 検出公衆


LOGO

私たちの全体的な製品の組み合わせはいつもより重要です。スライド8、29、9です。2021高度な制御能力EUV:NXEおよびDUV:XTおよびエッチングおよびEXEプラットフォームNXTプラットフォーム堆積ツールプロセスウィンドウプロセスウィンドウ予測および制御強化光学近接補正YeldStar電子ビーム計算リソグラフィ光学計測学と計算計測学電子ビーム計測電子ビーム検出プロセスウィンドウ検出共通


LOGO

我々の製品全体の組み合わせは従来よりも重要であり,スライド9,29リソグラフィスキャナである。2021高度な制御能力EUV:NXEおよびDUV:XTおよびエッチングおよびEXEプラットフォームNXTプラットフォーム堆積ツールプロセスウィンドウプロセスウィンドウ予測および制御強化光学近接補正YeldStar電子ビーム計算リソグラフィ光学計測学と計算計測学電子ビーム計測電子ビーム検出プロセスウィンドウ検出共通


LOGO

プロセスの各ステップで提供されるすべてのデータは、スキャナ計量、YEELDSTAR、HMI計測、および検査を使用してスライド10/29を最適化する。スキャナ制御のための2021サンプル、およびより高速な生産量エージェントとして納期.納期エッチング後/化学機械研磨後にリソグラフィ後 エッチング後の電子ビームリソグラフィ計測検出レシピデータ データ仮想計算プラットフォームHMI電子ビームHMI電子ビーム全体スキャナYeldStar混合計量検出アプリケーションアプリケーションVCPアプリケーション単一ユーザインタフェース、汎用機能、制御フレームワークは、各ステップASML共有機能と(分野)モデル分析基礎データインフラストラクチャデバイスと自動化集積、 セキュリティ、データソリューション顧客汎用計算ハードウェアクライアント共通計算ハードウェアクライアント共通アプリケーションを適用する


LOGO

EPEの改善を推進する市場と製品路線図全体のリソグラフィ電子ビーム検出


LOGO

エッジ配置誤差(EPE)を減少することは歩留まりを高めるキーポイントであり、ランダム性のため、ローカルCD誤差はますます重要になり、スライド12 29 9。2021 nm 250エッジ配置誤差(EPE):重畳およびCD一貫性の総合誤差(グローバルEPEは、生産量CDU、局所CD誤差およびOPC誤差の最適予測係数)CD:キーサイズ、OPC:マスク光学 近接補正共通


LOGO

YeldStar被覆測定リソグラフィ後とエッチング後,プロセスエラーを特徴付けることで正確な特徴を実現してスライド13/29を置く。2021リソグラフィ後の光学的積層計量リソグラフィ後の光学的積層計量後のリソグラフィYS 385 YS 1385計量正確な積層データ実際のターゲット面上の正確な積層データ 装置補正~800点x 4ウエハ~10,000点x 2ウエハ毎に数日おきにリソグラフィ後の積層制御:リソグラフィ後疎+エッチング後に公衆を精製する


LOGO

EPEの改善を推進するには、スライド14 29 9を最大限に向上させるために、高忠実、迅速かつ正確な計測が必要である2021スキャナの補正機能計測監視制御YeldStar B層をA層最終設計の二層EPEパターン>1,000個の測定/ウエハ 60分単層EPEウエハB層HMI EPX計算EPE署名制御ソフトウェア>1000万個の測定/ウエハ60分間公開


LOGO

ASMLスキャナは、EPEを改善し、スライドを発見、測定、修正することができるASMLスキャナ唯一の機能br}15 9月29日を生成するために使用される。2021図形変化FlexRay照明器偶数指奇数線量グレーフィルタ光学CeマニピュレータY Zスケール段階計量段階露光段階100%ウエハが測定され100%ウエハ加工されました フィールドごとにウエハテーブルスキャナアクチュエータが正確に取り付けられているフィールドごとに基礎 公共


LOGO

より厳しいEPE要求は、ASMLが正確で経済的に効率的なカバー、EPEおよび欠陥計測スライド16/29を提供することを推進することを要求する。2021年の測定1ロットあたり数十億カバーEPE欠陥EPE要件14,200万[NM]10 8要件6000 EPE 4 2015 2018 2021 2024 2027 2030 2 0オーバーレイ2015 2018 2018 2018 2021 2024 2027 2030 EPE欠陥 パブリックチェック


LOGO

EUVランダム時代には,10億分の1の制御戦略欠陥感知監視 が必要であり,今日,サーバチップの大きさは約800 mm 2に達する


LOGO

10億分の数の制御戦略欠陥感知モニタリングと制御が必要EUV時代 ランダム1 mm 1 mm 1平方mmに>100 Mのコンタクトホールがある可能性があり,かつ1ノードあたり1.5倍に増加している今日,サーバチップの大きさは~800 mm 2に達する


LOGO

EUVランダム性の時代には10億分の1の制御戦略欠陥知覚モニタリングと制御 スライド19/29が必要である.2021走査型電子顕微鏡画像:例示的に欠損した接点孔は、したがって、約80 Bが正常に動作する必要があり、1平方mm当たり100メートルを超える接点孔がある可能性があり、1ノード当たり1.5倍に増加し、今日、サーバチップのサイズは約800平方mmに達する


LOGO

EPEの市場と製品路線図全体のリソグラフィを推進する 電子ビーム検出の改良


LOGO

高解像度電子ビームと光学明場検出高分解能電子ビームは光学検出に優れた分解能を提供し、スライド21は9月29日に提供される。2021微小パターン忠実度欠陥の検出を可能にするクライアント設計スケーリング光学明場電子ビームは、10億分の1の部分から10 nmの特徴サイズを捕捉して感度の乏しいパターン忠実度欠陥ナノ解像度金属層を設計することができるように設計され、光学明視野画像高解像度電子ビーム画像設計に基づく検査プロセスを設計する。SPIE 9778、微細平版印刷の計量、検査とプロセス制御、97780(2016年4月21日)


LOGO

電子ビーム検査は、従来の分解能利点を有し、マルチビームスライド22,29,9,29との平行度を増加させることによりスループットを増加させる。2021年1000000最小欠陥サイズ、2 nmノードと100000未満の光学明場検査10000 1000第3世代マルチビーム(~2028年)は100%増加[ミリ/時]生産能力は追加の10個の第2世代マルチビーム(~2024年)マルチビーム(2021年)マルチビーム(2021年)走査電子顕微鏡0.001画像単電子ビーム(開発)0.0001 60 40 20 10 8 4 2 1欠陥 サイズをサポート[NM]公衆


LOGO

電子ビーム検査:電圧コントラスト(VC)と物理欠陥独特の 電子ビーム検査良率制限欠陥を発見する能力スライド23 29 9。2021 VC検出:層間物理検出:設計とbr}プロセス欠陥ESCAN ESCAN EPXのような電気開回路と短絡欠陥を引き起こす層内欠陥の検出:DRAMとすべての細分化市場に大量に使用される3 D NAND HMIは電子ビーム検出領域の技術の先頭であり、高電流、充電制御と高速データレート共通に先行している


LOGO

マルチビームはVCおよび物理的欠陥検出問題を解決し、高解像度スライド24、29、9月29日に経済的なスループット収益を提供する。2021年VC検査:層間物理検査を検出:電気開回路と短絡を引き起こす層内欠陥、例えば設計と技術弱点ESCAN ESCAN EPXを測定するP(-1,1) P(0,1) P(1,1) P(-1,0) P(0,0) P(1,0) eScan P(-1,-1)P(0,−1)P(1,−1)走査マルチビーム共通


LOGO

マルチビームはASMLコア技術を利用して増加電子ビーム検査スライド25/29大量生産2021 3 Brion‘s計算技術:シングルビームシステム深度学習画質向上設計に基づく欠陥検出 スループット2 ASML’s段階技術:高速運動高位置精度1 HMI‘s先進的な電子光学とMEMS 9ビームを同時に走査する高品質走査電子画像 公衆


LOGO

マルチビーム:現在の状況eScan 1000(3 X 3)とeScan 1100スライド26 9月29日 2021(5 X 5)第1回出荷資格2021年第4四半期のキー情報マルチビーム技術を実施することは挑戦的です。いくつかの計画遅延を経験しました:最初の開発パートナー関係を終了し、 COVIDチームのためにより多くの専門知識を追加し、新しいマルチビームIPを開発し、マルチビームに自信を保ち、市場潜在力状況 今日3つのeScan 1000プロトタイプ(3 x 3ビーム)の顧客での動作と評価中のeScan 1100(5 x 5ビーム)eScan 1100(5 x 5ビーム)eScan 1100(5 x 5ビームシステム)eScan 1100(5 x 5ビーム)の資格を達成するために取り組んでいます。 2021年第4四半期初出荷公開予定


LOGO

アプリケーション、製品、およびビジネスの重要な情報スライド27/292021年のアプリケーション事業は、2020年から2025年にかけて約20%の複合年間成長率で成長すると予想されており、毛率が強いです。アプリケーション製品の組み合わせはASMLスキャナ業務をサポートしており、これは、構図を最大化するためのお客様の独自の能力(Br)エッジ配置誤差(EPE)性能の改善がリードする光学とを提供しているおかげです電子ビーム測定と検出はASMLの完全な製品の組み合わせを全体のリソグラフィ解決方案に統合し、リソグラフィ技術の主要な駆動要素を最適化と制御することは著者らのEPE路線図の拡張である:新しいbr測定、検出と制御製品拡張路線図の革新製品結合計算技術、YeldStarカバー測定と電子ビーム 測定ハードウェアとソフトウェア製品支持EUVをHVM計算リソグラフィと欠陥検出領域に導入する深さ学習の新しい応用は性能共通 の向上を推進する


LOGO

前向きな声明スライド28,292021本プレゼンテーションには、予想される純売上高、毛金利、研究開発コスト、SG&Aコストおよび有効税率、2025年の年間収入機会、2025年の財務モデルと仮定、予想成長率と駆動要因、予想成長(2020-2025年と2020-2030年の成長率を含む)、潜在市場総量、2025年以降の成長機会とリソグラフィ、計量·検出システムの予想年間成長率、および設置基地管理の予想年間成長率を含む予想業界およびビジネス環境傾向に関する陳述が含まれる。2030年までのアドレス指定可能市場の予想傾向、論理およびメモリの予想傾向、収入機会、長期成長機会と見通し、需要および需要駆動要因の予想傾向、システムおよびアプリケーションの期待利益と性能、半導体端末市場傾向、半導体業界の予想成長、予想需要増加と今後数年間の資本支出、予想ウエハ需要増加とウエハ生産能力への投資、予想されるリソグラフィ市場需要と成長と支出、成長機会および駆動要因、EUVおよびDUV需要の予想傾向、販売、見通し、路線図、機会および生産能力の増加、および予想されるEUV採用、収益力、可用性、生産性と生産量および予想されるウエハ需要と価値向上、応用業務の期待傾向、設置基礎管理の期待傾向(予想収入と目標利益率を含む)、応用業務の期待傾向と増加機会、 に関する期待高正味現在価値、生産能力増加の期待、計画、戦略および戦略優先事項と方向、需要を満たすために生産能力、生産量および生産量を増加させる期待、ムーアの法則の変化を継続する期待、製品、技術、顧客路線図、および資本分配政策、配当および株式買い戻しに関する声明と意図、株式買い戻しと増加し続ける年間化配当金を介して株主に大量の現金を返す意図、およびESG承諾、持続可能な発展戦略、目標、措置、マイルストーンに関する声明を含む。これらの陳述は、一般に、可能性、すべき、プロジェクト、予想、予想、予測、潜在、志向、継続、目標、未来、進行、目標、これらの語、または同様の語の変異体を使用することによって識別することができる。これらの 陳述は歴史的事実ではなく、私たちの業務と未来の財務業績に対する現在の期待、推定、仮説と予測に基づいており、読者はそれらに過度に依存してはならない。展望性 陳述は未来の業績を保証できず、大量の既知と未知のリスクと不確定性に関連している。これらのリスクと不確定性は、経済状況、製品需要と半導体設備業界の生産能力、全世界の半導体需要と生産能力の利用率、半導体端末市場の傾向、全体の経済状況が消費者自信と顧客製品需要に与える影響、私たちのシステムの性能、新冠肺炎疫病が世界経済と金融市場に与える影響及び抑制措置を含むが、これらに限定されない, ASML及びその顧客及びサプライヤー、並びにASMLの販売及び毛金利に影響を与える可能性のある他の要因は、顧客需要及びASMLがその製品の供給を得る能力、研究開発計画及び技術進歩の成功、並びに新製品開発の速度及び顧客の新製品に対する受け入れ及び需要、生産能力及び需要を満たすための生産能力の向上、発注、出荷及び収入確認システムの数量及び時間、並びに注文キャンセル又は延期のリスクを含む。我々のシステムの生産能力は、システム生産とサプライチェーン生産能力遅延のリスク、制限、不足と中断、半導体業界の傾向、私たちが特許と知的財産権を保護する能力及び知的財産権紛争と訴訟の結果、原材料、肝心な製造設備と合格従業員の可用性及び労働市場の傾向、地政学的要素、貿易環境を含む。輸出入および国家安全規制および注文およびそれが私たちに与える影響、持続可能な開発目標を達成する能力、為替レートおよび税率の変化、利用可能な流動性および流動性要件、債務再融資のための私たちの能力、配当支払いおよび株式買い戻しに利用可能な現金および分配可能な備蓄、ならびに配当支払いおよび株式買い戻しに影響を与える他の要因、株式買い戻し計画の結果、およびASMLの12月31日までの年間20-F表に含まれるリスク要因に示される他のリスク要因, 2020年にアメリカ証券取引委員会に提出された他の書類と書類。これらの前向きな陳述は,本文書の発行日からのみ行われる.法律の要件を除いて、私たちは、本報告日の後に任意の前向きな陳述を更新すること、またはそのような陳述を実際の結果または修正された予想に適合させる義務がない。公衆


LOGO

ASML Small Talk 2021投資家日Vitrual