展示品99.4

LOGO

製品とビジネスチャンスクリストフ·フォケ執行副社長ビジネスライン


LOGO

EUV製品とビジネスチャンスキー情報スライド2,9月29日2021年にASML EUVリソグラフィは、リソグラフィ分解能改善、最先端のカバー性能、および同コスト低減を提供することによって、LogicおよびDRAMクライアントロードマップを拡張しました。私たちのクライアントは論理ノードでEUVを使用しており、2021年にDRAMノードでEUVの使用を開始しています。EUV層の採用は、パターンの複雑さとコストを低減するために持続的に増加し、ASML EUV機能向上とその生産性路線図を組み合わせて、今後数年で私たちの顧客の急増をサポートする需要EUV製品路線図は、私たちのEUV プラットフォームを拡張し、EUV 0.55 NAプラットフォームを同時に発売し、私たちの顧客に全面的で柔軟な解決策を提供し、次の10年間のパターンの拡張需要まで継続することが予想され、私たちの価値向上製品路線図と野心的なシステムとサービスコスト低減路線図を組み合わせることで、今後10年以内にEUVの収益力を高め続ける予定です


LOGO

EUV 0.33 NAはLogicとDRAMのために生産されており、その採用は引き続きEUV 0.33 NA拡張とEUV 0.55 NAの発売が予想され、EUVの価値を今後10年間に延長する予定であり、増加したEUV製品とサービス価値は引き続き利益を推進する見通しである


LOGO

EUV 0.33 NAは生産中であり,ロジックとDRAMはすべての先進的な半導体メーカーに適用されており,9月4 29号スライドでEUVを動作させる予定である.2021年に生産された2024年最大容量のモバイルメモリは、現在最先端の(1 Z)プロセスノードに基づいており、サムスンの新型16 GB LPDDR 5は、EUV技術を使用して大規模に生産された最初のメモリであり、モバイルDRAMで利用可能な最高速度と最大容量を提供しています。出典サムスン、プレスリリース、韓国、2020年8月30日ソース:アップル、2020年11月10日にSK HynixがEUV装置を使用して1 anm DRAM SK Hynixの量産を開始し、ソウル、2021年7月12日に美光が2024年までにEUVウエハを構築することを発表した出典:インテル、パット·ギャルシンガー、“未来プロジェクト”、2021年3月23日出所:The Register、2021年7月公開


LOGO

大量製造スライド5 29では,プラットフォームの成熟によりEUV 0.33 NAが採用されている.2021年100%3000 システム日生産量95%最大ウエハ数(単一システム、毎週平均値)2500個のインストール基数システム可用性90%1日4週間移動平均(期末)85%2000毎80%75%1500利用可能ウエハ70%1000 65%60%500 55%50%0 2017 2018 2019 2020 2021年ASMLコミットメント2025年までに、EUV可用性>95%、ウエハ生産量50%以上増加源:ASMLはすでに基数データ共通データをインストールしている


LOGO

ロジックとDRAMは,最先端のデバイス路線図スライド6 29 9をサポートするためにEUV 0.33 NAを採用し続ける予定である.2021年30今日のロード20論理露出最小記憶最小10 2018年20192020年2021年2022年2023年20242025公衆


LOGO

EUVのより多くの採用により,2025年のEUVウエハ移動数は2021年9月7日のスライドより2倍以上増加すると予想される。2021 800%30実際のロードマップ(雄心)20年ごとの記憶論理露出最小10 EUV数論理総数100%0 2019 2020 2021 2022 2023 2024 2025公開


LOGO

ASMLは出荷量とシステム生産性を増加させることでEUV需要スライド8月29日を満たしている.2021年現在の実績[br}路線図400%(野心)[#]年WPH*] 300% per[200%ENTS/容量Shipmシステムによる|100%2018 2019 2020 2021 2022 2023 2024 2025 NXE:3400 B NXE:3400 C NXE:3400 C NXE:3600 D NXE:3600 D NXE:3800 E NXE:3800 E NXE:4000 F*WPH=1時間あたりウエハ数共通


LOGO

ASML出荷量とシステム生産性を増加させることでEUV需要を満たす9月29日スライド2021年800%の実態今日の路線図(雄心)700%年[#]FABモデル:EUV推定(3600 D)WPH]X FAB容量600%年間曝露システムメモリ(kwspm*)FAB WPHあたり][単位数/[ 45 10-20 9-18論理配置500%露出メモリ容量400%100 1-6 2-9ウエハ300%ウエハサイズ/容量EU EUV Shipmシステム200% 100%*論理合計2018 2019 2020 2021 2022 2023 2024 2025 nxe:3400 B nxe:3400 C nxe:3400 C nxe:3600 D nxe:3600 D nxe:3800 E nxe:3800 E nx e:4000 F*2018参照=100%共通共通


LOGO

先に予測したDRAMを使用することは、EUV Demand Slide 10 9月29日の追加駆動要因となります。 2021 800%今日の実データ700%600%500%露光400%ウエハ300%EUV 200%論理総数100%2018 2019 2020 2021 2022 2023 2024 2025共通


LOGO

EUVはフォトリソグラフィとプロセスステップを減少させ、著しい欠陥、コストと周期時間のスライド 11 9月29日を短縮した。2021 EUVなしEUV(0.33 NA)キー総数120%リソグラフィマスクプロセスステップ#プロセスステップなしEUVなしEUV 100%EUVなしEUV(0.33 NA)EUV 80%(0.33 NA)EUV(0.33 NA)60%EUVクライアント価値40%EUV減少欠陥なし20%EUVによるコスト 低減0%短縮サイクルノード(DRAM)公開


LOGO

サムスンは、マルチパターン浸漬(ARFI)スライド12と比較して、EUV発売時に欠陥が20%以上減少したと報告している 9月29日。2021マルチパターニング関連欠陥除去比率パターニングArfi MPT EUV SET>20%DRAM D 1 xBLP[%]走査電子顕微鏡画像定量欠陥構図精度とコストBLP ARFI MPT EUV SET ARFI EUV減少ステップ数と累積欠陥源:サムスン投資家フォーラム、2020年11月公開


LOGO

EUVはLogicとDRAM生産のために使用されており、その採用は引き続き増加すると予想され、EUV拡張 とEUV 0.55 NAの発売はEUVの価値を今後10年間に延長する予定であり、増加したEUV製品とサービス価値は引き続き利益を推進する見通しである


LOGO

ASMLはクライアントが要求する速度に応じてDUVとEUVプラットフォームを拡張し続ける予定である.2021 mm波長NA、半音高2020 2021 2022 2023 2024≡2025 DUV nxt:2000 i nxt:2050 i nxt:2100 i Next 1.35 na、38 nm 2.0 nm|275 wph 1.5 nm|295 wph 1.3 nm|295 wph(Arfi)nxe:3400 C nxe:3600 D nxe:3800 E nxe:4000 f EUV 0.33 na、13 nm 2 3 220 wph 1.5 nm|135 wph/145 wph 1.1 nm|160 wph 195 wph/220 wphウエハ/時間(Wph)30 mJ/cm 1)185 wph@20 mJ/cm 2)170 wph@20 mJ/cm 2) スループットアップグレード製品:適合機カバー(Nm)|スループット(Wph)製品状態発表開発定義


LOGO

EUV 0.55 NAは、2025年の大量製造をサポートするためにEUV製品の組み合わせに添加される予定です。 スライド15/292021\波長NA,半音高2020 2021 2022 2023 2024Σ2025 nxe:3400 C nxe:3600 D nxe:3800 E nxe:4000 F 0.33 nA,13 nm 2 3 220 wph 1.5 nm|135 wph/145 wph 1.1 nm| 160 wph 19wph/220 wph EUVクライアントEUVクライアント時間0.55 nA ASL R&D HVM EXE:5000 EXE:5000 EXE:5200 0.55 NA,8 nm 1 ASMLメーカーで


LOGO

EUV 0.55 NAは、2025年および2026年の論理およびDRAMスライド16/29の平版およびプロセスステップを再び減少させることが予想される。2021キーリソグラフィマスク総プロセスステップEUVの顧客価値120%EUV EUVの少ない欠陥なしEUV(0.33 NA)No EUV(0.33 NA)100%EUV EUVコスト低減(0.33 NA)(0.55 NA)EUV 80%EUV(0.55 NA)(0.33 NA)サイクル時間60%M 40%20%EUV 0%EUV(0.33 NA)EUV(0.55 NA)2021 2025-2026 DRAM期待2025-2 026 DRAM期待


LOGO

EUV 0.55 NAはEUV技術の進化ステップであり,我々は新たな光学スライド17 29 9月を開発した。2021 NXT EUV 0.33 NA EUV 0.55 NAシステムプラットフォームをプラットフォーム高193 nm深紫外光13.5 nm EUV光13.5 nm EUV光源に交換多くの既存の変更技術源:異なる界面低高大気条件真空 条件真空条件多くの既存スキャナ変更技術より高い加速度/速度レベル低透過率光学反射光学反射光学多くの変形ミラー光学新しい光学変更より高精度低公開


LOGO

我々のEUV 0.55 NAプラットフォームの大部分は,導入リスク,コスト,研究開発スライド18/29を低減するために,我々のEUV 0.33 NAと共同使用する予定である。2021ドット処理ドットテーブル投影光学ボックス照明光源ウエハ処理台駆動レーザ専用共通


LOGO

EUV光学投影鏡は,ASMLと蔡司の鏡面設計と独自の計測システムスライド上での連携である.2021年EUV 0.55 NA鏡面計量蔡司で公開全面使用


LOGO

EUV光学投影ミラーは、これまでにないサイズと精度スライド20,29を有する。2021 EUV 0.55 nA光学素子:直径1メートル、精度20 pmこれらの鏡を地球の大きさに拡大すると、最大の収差は人間の髪の直径の大きさになります


LOGO

EUV 0.55 NAを統合した施設が進行中スライド21 292021クリーンルーム、Fab ASML Veldhoven 共通


LOGO

EUV 0.55北米製造は4つ統合される予定予審モジュールスライド22/292021予審モジュール:Reticle予審 予審モジュール:光学モジュール:ソース予審モジュール:すべての4つの予審モジュールは現在ASMLでウエハ統合 Reticle Opticsウエハソーストップフレーム、Wilton統合、Oberkochen Metro Frame、Veldhoven光学プラットフォーム、サンディエゴ光駆動レーザ、Veldhoven艦船、サンディエゴ公共バスを行っている


LOGO

EUVはLogicとDRAM生産のために使用されており、その採用は引き続き増加すると予想され、EUV拡張 とEUV 0.55 NAの発売はEUVの価値を今後10年間に延長する予定であり、増加したEUV製品とサービス価値は引き続き利益を推進する見通しである


LOGO

EUV製品やサービス価値の増加にスライド24コストの低下に加え,より良い収益力 9月29日を推進することが予想される。2021年400%今日の実際のロードマップ300%日**スループット200%2ナノウェハあたり[NM]平均ドライロッド100%1 nm Ove Sy 2018 2019 2020 2021 2022 2023 2024 2025 nxe:3400 B nxe:3400 C nxe:3400 C nxe:3600 D nxe:3600 D nxe:3800 E nxe:3800 E nxe:4000 F*2018年正規化30 MJ共通に基づく


LOGO

EUV製品とビジネスチャンスキー情報スライド25/292021年にASML EUVリソグラフィは、リソグラフィ分解能改善、最先端のカバー性能、および同コスト低減を提供することによって、私たちのロジックおよびDRAMクライアントロードマップを拡張しました。私たちのクライアントは論理ノードでEUVを使用しており、2021年にDRAMノードでEUVの使用を開始しています。EUV層の採用は、パターンの複雑さとコストを低減するために持続的に増加し、ASML EUV機能向上とその生産性路線図を組み合わせて、今後数年で私たちの顧客の急増をサポートする需要EUV製品路線図は、私たちのEUV プラットフォームを拡張し、EUV 0.55 NAプラットフォームを同時に発売し、私たちの顧客に全面的で柔軟な解決策を提供し、次の10年間のパターンの拡張需要まで継続することが予想され、私たちの価値向上製品路線図と野心的なシステムとサービスコスト低減路線図を組み合わせることで、今後10年以内にEUVの収益力を高め続ける予定です


LOGO

前向きな声明スライド26,292021本プレゼンテーションには、予想される純売上高、毛金利、研究開発コスト、SG&Aコストおよび有効税率、2025年の年間収入機会、2025年の財務モデルと仮定、予想成長率と駆動要因、予想成長(2020-2025年と2020-2030年の成長率を含む)、潜在市場総量、2025年以降の成長機会とリソグラフィ、計量·検出システムの予想年間成長率、および設置基地管理の予想年間成長率を含む予想業界およびビジネス環境傾向に関する陳述が含まれる。2030年までのアドレス指定可能市場の予想傾向、論理およびメモリの予想傾向、収入機会、長期成長機会と見通し、需要および需要駆動要因の予想傾向、システムおよびアプリケーションの期待利益と性能、半導体端末市場傾向、半導体業界の予想成長、予想需要増加と今後数年間の資本支出、予想ウエハ需要増加とウエハ生産能力への投資、予想されるリソグラフィ市場需要と成長と支出、成長機会および駆動要因、EUVおよびDUV需要の予想傾向、販売、見通し、路線図、機会および生産能力の増加、および予想されるEUV採用、収益力、可用性、生産性と生産量および予想されるウエハ需要と価値向上、応用業務の期待傾向、設置基礎管理の期待傾向(予想収入と目標利益率を含む)、応用業務の期待傾向と増加機会、 に関する期待高正味現在価値、生産能力増加の期待、計画、戦略および戦略優先事項と方向、需要を満たすために生産能力、生産量および生産量を増加させる期待、ムーアの法則の変化を継続する期待、製品、技術、顧客路線図、および資本分配政策、配当および株式買い戻しに関する声明と意図、株式買い戻しと増加し続ける年間化配当金を介して株主に大量の現金を返す意図、およびESG承諾、持続可能な発展戦略、目標、措置、マイルストーンに関する声明を含む。これらの陳述は、一般に、可能性、すべき、プロジェクト、予想、予想、予測、潜在、志向、継続、目標、未来、進行、目標、これらの語、または同様の語の変異体を使用することによって識別することができる。これらの 陳述は歴史的事実ではなく、私たちの業務と未来の財務業績に対する現在の期待、推定、仮説と予測に基づいており、読者はそれらに過度に依存してはならない。展望性 陳述は未来の業績を保証できず、大量の既知と未知のリスクと不確定性に関連している。これらのリスクと不確定性は、経済状況、製品需要と半導体設備業界の生産能力、全世界の半導体需要と生産能力の利用率、半導体端末市場の傾向、全体の経済状況が消費者自信と顧客製品需要に与える影響、私たちのシステムの性能、新冠肺炎疫病が世界経済と金融市場に与える影響及び抑制措置を含むが、これらに限定されない, ASML及びその顧客及びサプライヤー、並びにASMLの販売及び毛金利に影響を与える可能性のある他の要因は、顧客需要及びASMLがその製品の供給を得る能力、研究開発計画及び技術進歩の成功、並びに新製品開発の速度及び顧客の新製品に対する受け入れ及び需要、生産能力及び需要を満たすための生産能力の向上、発注、出荷及び収入確認システムの数量及び時間、並びに注文キャンセル又は延期のリスクを含む。我々のシステムの生産能力は、システム生産とサプライチェーン生産能力遅延のリスク、制限、不足と中断、半導体業界の傾向、私たちが特許と知的財産権を保護する能力及び知的財産権紛争と訴訟の結果、原材料、肝心な製造設備と合格従業員の可用性及び労働市場の傾向、地政学的要素、貿易環境を含む。輸出入および国家安全規制および注文およびそれが私たちに与える影響、持続可能な開発目標を達成する能力、為替レートおよび税率の変化、利用可能な流動性および流動性要件、債務再融資のための私たちの能力、配当支払いおよび株式買い戻しに利用可能な現金および分配可能な備蓄、ならびに配当支払いおよび株式買い戻しに影響を与える他の要因、株式買い戻し計画の結果、およびASMLの12月31日までの年間20-F表に含まれるリスク要因に示される他のリスク要因, 2020年にアメリカ証券取引委員会に提出された他の書類と書類。これらの前向きな陳述は,本文書の発行日からのみ行われる.法律の要件を除いて、私たちは、本報告日の後に任意の前向きな陳述を更新すること、またはそのような陳述を実際の結果または修正された予想に適合させる義務がない。公衆


LOGO

ASML Small Talk 2021仮想投資家デー