展示品99.3

LOGO

科学技術の発展を推進する戦略
ムーアの法則は
次の10年
マーティン·ファンデン·ブリンク
社長と
首席技術官


LOGO

技術戦略
全体的なフォトリソグラフィ路線図は私たち独特の
キー情報 スライド2によりクライアント価値のモデル化制御ソリューションを提供する
29 Sept. 2021
製品の性能を向上させた
ムーアの法則はよく生きている!業界革新:ASMLの包括的な製品の組み合わせと私たちの
システム拡張に後押しされて,引き続き高価値顧客のロードマップを提供し,支援面で経済的に効率的な解決策を提供する
半導体製品です。フロントノードから成熟ノードまでのすべての アプリケーション
*半導体システム拡張は、次世代EUV(Br)技術の指数的成長を実現しますHIGH-NA、進行中
性能向上とエネルギー低減は油井 を支持し,フォトリソグラフィ路線図の進入を推進することになる
データ交換は著しく増加した。次の10年
*お客様の路線図は引き続き削減する必要があり、戦略的優先順位は継続される予定です
エッジ配置誤差を減少させ、経済的な拡張を推進し、お客様に経済的で効率的な解決策を提供します
次の十年に入ります。産業路線図を次の10年に延長し
私たちの長期的な持続可能な開発を支援する約束は
2


LOGO

*ムーアの法律の進化とお客様の路線図
ASMLの戦略的ポイント
3


LOGO

論理的な重大な設備革新が私たちの前に置かれている
拡張路線図はスライド4を超えて1ナノメートルに拡張され続けます
29 Sept. 2021
3ナノメートル2ナノメートル1,5ナノメートル以上
PP:44-48, MP: 21-24 PP: 40-44, MP: 18-21PP: 40-44, MP: 18-21PP: 38-42, MP: 15-18
業務フローの再構築
FinFETナノシート,BPRフォーク,VHV STD細胞アーチ。CFET、BEOL、エアギャップ2 D原子チャネル
5 T 5 T埋込電源レール(BPR)ナノ板フォークリフト金属エッチングバンドエアギャップ金属エッチングバンドエアギャップ
PP:多角形ピッチ(ナノ)
MP:緻密金属ピッチ(ナノ)
垂直−水平−垂直CFET:相補型FET
資料源:IMEC、SRI Samavedam、“未来の論理拡張:原子チャネルと脱構造チップへ”、IEDM、2020年12月。公衆
4


LOGO

革新は設備レベルに限らない
台積電へ>300 Bトランジスタシステム路線図スライド5
29 Sept. 2021
WOW:ウエハ上のチップCoWoS:基板上のウエハ上のチップHBM:3 D高速メモリRDL:Re分散層
SoC:オンチップシステムCOW:ウエハ上チップFPGA:フィールドプログラマブルグリッドアレイInFo:集積ファンアウトSOIC:チップ集積システム
> 300 BTSMC—SoIC™ï¸
トランジスタ
InFo 150B
トランジスタ.トランジスタ
CoWoS
>50 B
15 Bトランジスタ
トランジスタ.トランジスタ
7B
トランジスタ.トランジスタ
MOSトランジスタ×200
いくつかのトランジスタ
3 D FinFETの新しいチャネル材料
HKMG 2 P 2 EEUV
浸漬式ELK金属酸化物ESL
SiGe低Rバリア自己整合回路は、柔軟な空間を有しています
銅/低K Co Capliner低 破損/強化Low−k&新規銅充填材
出典台積電Mark Liu、革新未来ISSCCを発表、2021年2月15日公開
5


LOGO

革新は設備レベルに限らない
段積電力>300 Bトランジスタへのシステム路線図スライド6
29 Sept. 2021
WOW:ウエハ上のチップCoWoS:基板上のウエハ上のチップHBM:3 D高速メモリRDL:Re分散層
SoC:オンチップシステムCOW:ウエハ上チップFPGA:フィールドプログラマブルグリッドアレイInFo:集積ファンアウトSOIC:チップ集積システム
設備規模(代行サプライチェーンを含む)設備電気とSOIC
回路換算(代行顧客を含む)
サイズスケーリング(平版サプライチェーンを含む)情報
代行顧客によるアーキテクチャ拡張
CoWoS
チップレベル向き
システムレベル
3 D FinFETの新しいチャネル材料
HKMG 2 P 2 EEUV
浸漬式ELK金属酸化物ESL
SiGeLow-R柵は整列しており、柔軟な空間を持っています
CU/LowK Co Capliner低破損/強化Low−k&新規銅充填材
出典台積電Mark Liu、“革新の未来を解放”ISSCC、2021年2月15日公開
6


LOGO

ムーアの法則の進化:次の10年
2005年のスライド7以来、クロック周波数などの従来の拡張指標は飽和している
29 Sept. 2021
公共データ顧客規範
1020予測
デナード·ポスト
1018伸縮
1016
1014
1012
1010
108
106
104クロック周波数1
[縁起がよい]
102
1
1970 1980 19902000201020202030
出典Karl Rupp発行人:Shekar Bokar,Qualcomm?未来計算は後ムーア法の時代とは国際会議です
高性能計算、ネットワークストレージ、分析については、2020年11月18日を参照されたい。 公共
7


LOGO

ムーアの法則の進化:次の10年
トランジスタとリソグラフィ密度のスケールメトリックは本10年間スライド8を継続している
29 Sept. 2021
公共データ顧客規範
1020予測
デニス·ポスト·デネード
1018ズーム縮小
1016
1014
1012
1010トランジスタ密度2
[1平方ミリ]
108デバイスおよびレイアウト
リソグラフィ密度の最適化2
106(コンタクトPoly Pitch*金属音調)-1
[109/mm2]
104クロック周波数1
[縁起がよい]
102
1
1970 1980 19902000201020202030
資料源:Karl Rupp 2 ASMLデータとRupp Publicを用いた予測
8


LOGO

ムーアの法則の進化:次の10年
エネルギーと時間効率を測るシステム指標の組合せスライド9
29 Sept. 2021
Brと定義したシステムと設備の省エネルギー性能
[1/J.S]
1つのデバイスで適用すると:
EEP=fc/e
Fc=クロック周波数[s-1]
E=トランジスタスイッチングエネルギー[J]
Dennard?スケールモデルを用いて,次元 が従うとK-1頻度はk
地域が持つK?と電力密度は一定であり, は以下のとおりである
EEP設備レベルはK 4とともに拡張される
密度(~K 2)が2年ごとに2倍拡張されると,EEP(~K 4)は2年ごとに4倍に広がる
1資料源:ロバート·H·デンナードら。“非常に小さい物理サイズのイオン注入MOSFETの設計”,IEEE固体回路雑誌,SC 9巻,1973年10月,pp256-268. Public
9


LOGO

ムーアの法則の進化:今後10年
2005年のスライド10以来、設備の省エネルギー性能の増加は飽和している
29 Sept. 2021
公共データ顧客規範
1020予測
DennardPost DennardSystem Energy
1018拡張高効率パフォーマンス3
[1/J.S]
1016トランジスタから
システム拡張
1014トランジスタエネルギー
効率的なパフォーマンス2
1012 [[1/J.S]
1010トランジスタ密度2
[1平方ミリ]
108デバイスおよびレイアウト
リソグラフィ密度の最適化2
106(コンタクトPoly Pitch*金属音調)-1
[109/mm2]
104クロック周波数1
[縁起がよい]
102
1
1970 1980 199020002010202020302040
資料源:Karl Rupp,2つのASMLデータとRupp Publicを用いた予測
10


LOGO

ムーアの法則の進化:次の10年
システムエネルギー効率は3倍/2年増加2040年までスライド11
29 Sept. 2021
出所:台積電、Mark Liu、“革新の未来を解放する”ISSCC、2021年2月15日。公衆
11


LOGO

ムーアの法則の進化:今後10年
トランジスタあたりのコストから密度、システムスライド12を通過するまでの時間とエネルギーコスト
29 Sept. 2021
1020 Energy
1018パフォーマンス3
1016
1014トールエネルギー
パフォーマンス2
1012
1010 TER密度2
108デバイスおよびレイアウト
リソグラフィ密度の最適化2
106(コンタクトPoly Pitch*金属音調)-1
[109/mm2]
104クロック周波数1
[縁起がよい]
102
1
1970 1980 199020002010202020302040
資料源:Karl Rupp,2 ASMLデータと投影はRupp,3 Mark Liu,台積電を用い,2005年にトランジスタEEPに正規化した。公衆
12


LOGO

ムーアの法則の進化:今後10年
システム拡張性能およびエネルギー消費需要を満たすためのスライド13
29 Sept. 2021
1020
システムがシステムのエネルギーを改善する
効率的性能3
1018トランジスタ比率調整による主導[1/J.S]
1016トランジスタから
システム拡張
1014トランジスタエネルギー
効率的なパフォーマンス2
1012 [[1/J.S]
1010トランジスタ密度2
[1平方ミリ]
108デバイスおよびレイアウト
リソグラフィ密度の最適化2
106(コンタクトPoly Pitch*金属音調)-1
[109/mm2]
104クロック周波数1
[縁起がよい]
102
1
1970 1980 199020002010202020302040
資料源:Karl Rupp,2 ASMLデータ とRuppを用いた投影,3 Mark Liu,台積電,2005年にトランジスタEEPに正規化した。公衆
13


LOGO

AMD 3 Dチップは3.1-3.8 EEPの向上
メモリとプロセッサをスライド14に統合することで
29 Sept. 2021
電力は3倍に低下します
速度向上4%-25%
構造シリコン
64 MB L 3キャッシュ(Br)チップ
直接銅線から銅線までボンド
シリコン貫通孔(TSV)による実現
シリコンからシリコンまでコミュニケーションをとる
…まで8コアZen 3 Cd
#生態系の加速、計算
14


LOGO

ムーアの法則の進化:今後10年
システム拡張性能およびエネルギー消費需要を満たすためのスライド15
29 Sept. 2021
公共データ顧客規範
1020予測
システム改善システム システムエネルギー
効率的なパフォーマンス3
1018トランジスタスケーリングによって支配されます [1/J.S]
1016トランジスタから
システム拡張
1014トランジスタエネルギー
効率的なパフォーマンス2
1012 [[1/J.S]
1010トランジスタ密度2
[1平方ミリ]
108デバイスおよびレイアウト
リソグラフィ密度の最適化2
106(コンタクトPoly Pitch*金属音調)-1
[109/mm2]
104クロック周波数1
[縁起がよい]
102
1
1970 1980 199020002010202020302040
資料源:Karl Rupp,2 ASMLデータと投影はRupp,3 Mark Liu,台積電を用い,2005年にトランジスタEEPに正規化した。公衆
15


LOGO

石材密度の割合はこの10年間続いています
カバーと光学近接補正誤差はスライド16を大幅に縮小する
29 Sept. 2021
6年ごとに2回
出典ASML外挿により拡張された平均顧客路線図2021年5月、平均2020年IRDS路線図Mustafa Badaroglu、
IRDS IFT More Moore Spring会議,IEEE,2020年4月21日
16


LOGO

次の10年の記憶路線図
DRAMの割合が10 nm未満であり、NANDスタックが続く>600層スライド17
29 Sept. 2021
ドラムン
10年後新しい挑戦1 Z 1 a 1 b 1 c 1 d 0 a
NAND
10年後 新しい挑戦>600層
96 128 1762xx3xx4xx5xx6xx
資料源:SK Hynix,S.H.Lee,Memory未来のITC世界への旅,IEEE IRPS 21,2021年3月21日公開
17


LOGO

技術を用いてフォトリソグラフィ層を投影する
スライド18
29 Sept. 2021
Krf
論理層スタック
5 nm 3 nm 2 nm~1.5 nm1 nm
Krf
DRAM EUV表示高校低音
層 スタック
1 A 1 B 1 C 0 A 0 BEUV
アルフィー
ARF
KRF
KrfI型線
3 D-NAND層スタック
176 L 2 XXL 3 xxx 4 xxx 5 xxL
2021 ~2030
資料源:ASML社戦略とマーケティング 公開見積もり
18


LOGO

技術を用いてフォトリソグラフィ層を投影する
DUVとEUVスライド19に押されてリソグラフィ層数が増加した
29 Sept. 2021
Krf
論理層スタック
5 nm 3 nm 2 nm~1.5 nm1 nm
Krf
DRAM EUV表示高校低音
層 スタック
1 A 1 B 1 C 0 A 0 BEUV
DUV
Krf
3 D-NAND層スタック
176 L 2 XXL 3 xxx 4 xxx 5 xxL
2021 ~2030
資料源:ASML社戦略とマーケティング評価公衆
19


LOGO

半導体と収縮路線図:今後数十年
スライド20
29 Sept. 2021
次の10年間、システム拡張は需要 を満たし続ける
10âµ
1962年の先進的な半導体ソリューションでは,リソグラフィ技術が収縮している
コンポーネント10は依然として回路密度とコストを向上させる鍵である.
per 1965
cost 10³
10² 1970
ASMLに対する製造業 の影響
10縮小路線図に対しては平版印刷を改善するための革新が必要である
1より低いコストとより高い作業効率でパフォーマンスを実現します。
1 10 10² 10³10â´ 10âµ
1集積回路あたりの要素数
私たちは信頼を発展させることで私たちのbrを維持し続けています
顧客との関係、そしてより強力な全体的な製品
20


LOGO

ムーアの法則の変化と顧客路線図
*ASMLの戦略的優先事項
21


LOGO

ASMLの戦略的ポイント
スライド22
29 Sept. 2021
性能、コストを提供するために実行能力を強化?向上させる
お客様の信頼とお客様のニーズへの頑健性
全体リソグラフィ と??エッジ配置誤差の面でリードしている
応用
DUV?DUV性能向上と市場占有率
競争力
EUV
工業化??EUV大量生産性能、勾配と支持
高可用性?将来のノードのためのリソグラフィ簡略化
公衆
22


LOGO

私たちの全体的なポートフォリオは今まで以上に重要です
スライド23付きリソグラフィスキャナ
29 Sept. 2021
高度な制御能力
エッチングと
堆積工具
プロセス·ウィンドウ·プロセス·ウィンドウ
予測と制御
機能を強化する
光学近接補正ieldStar電子ビーム
計算リソグラフィ光学計測学
計量を計算する電子ビーム計測
電子ビーム検出
プロセスウィンドウ
検査·測定
公衆
23


LOGO

私たちの全体的なポートフォリオは今まで以上に重要です
スライド24
29 Sept. 2021
EUV DUV
HIGH-NA
応用
公衆
24


LOGO

応用:戦略的方向
最先端の光ファイバとネットワークソリューションを提供する電子ビーム計測と検査スライド25
APPS 29 Sept. 2021
クライアントバリューASMLアプリケーション 製品路線図
生産性を向上させるためにより多くのウエハ署名を捕獲する
健壮性を高めるウェハ·プロセス制御およびロバストなアライメント·スキーム
ナノスケール
より強力な処理能力、単一ビーム分解能とアプリケーションをサポート
3?エンロン6シグマ制御エッジ配置誤差制御
自由形式のOPCと機械学習
小さな欠陥の少ない良質なウエハを捕獲することができ、マルチビーム分解能を実現することができる
指導検査による先進ノードの1日あたりの生産量を計算する
単位コスト
固定周波数でより多くの測定 生産性/マルチビームを行う
計量と検証予算:電子ビームプラットフォーム補強
*OPCの正確性、速度、およびユーザーの友好性
もっと速い問題解決の時間
単一フロー制御プラットフォームと解析を実現する時間
公衆
25


LOGO

電子ビーム検出には固有の分解能利点がある
マルチビームスライド26の並列度を増加させることによりスループットを向上させる
APPS 29 Sept. 2021
最小欠陥サイズは1000000です
2 nm以下のノード
100000光ファイバ
明るい場
10000検査
1000第3世代マルチビーム(~2028)
100増えました
[ミリ/時]スループット
有効にする
10個の追加HVMGen 2マルチビーム(~2024)
GUPUTアプリケーション
1回投げる
0.1第1世代マルチビーム(2021)
0.01スキャン
電子.電子
顕微鏡.顕微鏡
写真0.001枚電子ビーム(開発)
0.0001
60 40201086421
欠陥サイズ[NM]公衆
26


LOGO

計測、検査、パターン制御路線図
スライド27
APPS 29 Sept. 2021
2020 2021 202220232024³ 2025
スキャナーインターフェース
そして制御ソフトウェアはスキャナ動作(DUVとEUV),EPE制御を追加する
計測の速い段階、多波長、計算計量、
YeldStar設備内計量
電子ビームEP 5 0.1 nm精度、12ミクロン単場幅、ビーム9 K V HLE(オプション)高解像度、大P 6場高精度、視野明晰、高解像度EP 7次世代
計量学大量計測,EPE計測学P 7 XLE
EP 5 XS 18.5 keV着陸能eP 5 XLE 30 keV着陸能
50 keV着地エネルギー
電子ビーム欠陥
マルチビームの検出、迅速かつ正確な、高着地エネルギー、ガイド検出
改善されたモデル精度,逆OPC, を計算する
フォトリソグラフィとディープラーニング,エッチングモデル
公衆
27


LOGO

NXT:2050 iのお客様での量産
DUV 20%のカバー改善、より速い信頼性、および作業効率スライド28を上げる
29 Sept. 2021
NXT:2050 i
セット機のカード盤
被覆~1.2 nm被覆~0.8 nm
毎日6,000,000個のウェハ、18日間で200180時間、13週間以内に信頼できます
180
5000 NXT:2050i 160NXT:2050i
より速い斜面140のより高い可用性
4000
120
毎日(時間)
3000 100
ウエハMTBI 80
2000
60
40
1000
20
0 0
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 NXT:2000i1 2 3 4 5 6 7 8 9 1011 12 13 14 15 16 1718 19
インストールが完了してから数日でインストールが終わってから数週間
NXT:2050 iPublic
28


LOGO

DUV:戦略的方向
DUVは、先進的な機能とより高い作業効率を提供する先進的なソリューションを提供しますスライド29
29 Sept. 2021
顧客価値ASML DUV製品路線図
カバー層は、光学的および位置合わせによってカバー層(安定性)、特にNXT:2100 iを向上させる
EUVに適合するために
生産性と毎日より多くの良質なウエハの没入型生産効率は
使用可能性が低く、1枚当たりのコストが高いスキャン速度
ドライリソグラフィのXTからNXTへの移行
コスト競争力のある基本サービス製品と生産性向上パッケージをクライアント群にインストールしました
全製品のライフサイクルと価値 はサービス解決方案を増加させ、可用性を高めた
ノード性能
新しいbr市場生産性およびオーバーレイ性能は、特定のアプリケーションオプションを有する成熟XTプラットフォームに適しています
特定のアプリケーション は成熟市場(>40 nm)にi-line製品の組み合わせを拡張する
*Fab交換ソリューション
循環持続可能な製品とサービス製品およびシステムノード拡張パッケージ路線図
経済運営を最適化するコスト競争力のある供給を確保するために再使用する
公衆
29


LOGO

すべての細分化市場をサポートするDUV製品の組み合わせ
DUVスライド30
29 Sept. 2021
ength NA, Half pitch 202020212022202320242025
NXT:2100 i撮像、重畳、および作業効率を改善するために、NXT:2000 iを革新し続け、先進的なNXT:2050 i NXTプラットフォーム上でNXT:2000 iを革新し続ける
危急の瀬戸際
ArFi 1.35 NA,38 nm2.0 nm | 275wph1.5 nm | 295wph1.3 nm | 295wph
NXT:1980 Di先進NXTプラットフォームNXT:1980 Ei生産性向上NXT:1980
2.5 nm | 275wph2.5 nm | 295wph2.5 nm | 330wph
XTXT:1460 K
ARF 0.93 nA、57 Migratenmから上位5 nm|205 wph NXTorプラットフォーム7.5 nm|228 wph、イメージング、カバー、および作業効率を改善する
NXT:1470 NEXT
NXT 4 nm|300 Wph
0.93 NA,80 ProductivitynmXT:XTプラットフォームに1060 K XTを追加:1060 K+PEP
5 nm | 205wph5 nm | 220wph
KRF XTXT:860 MXT:860 N
生産性向上nm**|240On-250 wphXTプラットフォーム7.5 nm|260 wph
0.80 NA,110 nm
プレミアムNXTプラットフォームへの移行 パフォーマンスNXT:870および生産性NEXTを得る
NXT 7.5 nm|330 wph
I-line 0.65 NA,220 ProductivitynmXT:400 Lは、XTプラットフォーム上に追加され、次の大容量アプリケーション のための次のシステムXT:400 Mに移行する
20 nm** | 230wph20 nm** | 250wph
27%34%30%
66%70%
公衆
30


LOGO

EUV 0.33北米採用率は
EUV大量製造スライド31
29 Sept. 2021
100%
3000システム出力
1日の最大ウエハ数(単一システム、週平均)95%
2500 90%
クライアント群システム可用性
4週目移動平均値(期末)85%
2000
per 80%
1500 75%の可用性
ウエハ70%
1000 65%
60%
500
55%
0 50%
2017 2018 201920202021
ASMLコミットメントはEUV 可用性>95%を可能にすることが期待できる
2025年までにウエハ生産量を50%以上向上させる
資料源:ASMLクライアント群データ公共
31


LOGO

EUV:戦略的方向
EUVは高度なノードのための経済的に効率的な拡張スライド32
29 Sept. 2021
EUV ASML EUV製品を使用した顧客価値の改善
より良い設備性能:より簡単な技術路線図:ノード(解像度)で向上
設計と優れた電子イメージング、カバーと欠陥(レチクルとウェハ
ナノ性能レベル)
工場の生産能力を満たすために必要なツールはもっと少ない
より高いスループットのため、時間の経過とともに生産性路線図:生産性の向上
作業効率>200 wph,利用率>97%
キー層の構図コスト の節約とサブシステム改善の重点:
代替案(3倍ARFI浸漬と
(上図)
良質ウエハ:源(オンライン充填、より高出力、高反射鏡)
鏡面数が少ない(鏡面加熱対策,鏡面冷却)ため,1日あたりの単位コスト生産量が高い
パターン層(最大9%)
メサとネット版(ネット版加熱、高精度迅速
段階·フィルム耐久性)
プロセスの複雑さを低減し、アライメント(マーク数、マークサイズ、ウェハクランプ堅牢性)を実現する
周期時間と学習周期の方が短くて速い時間だ-
発売時間と収益率
公衆
32


LOGO

高NA、サイクル時間とプロセス複雑性の増加を防止
EUVはLow NAがスライド33に浸るためにしたように
29 Sept. 2021
5挿入第一選択
EUVEUV
ナナ
3 --
u. ) 0.330.55
(A.依存)DUVEUV-0.33 NAEUV-0.55 NA
複雑なサイクル時間(製品3
0.33-NA挿入サポートSingleHigh-NA挿入機会
プロセスステップは、周期時間連続モル法則を減少させるようにパターン化されて何もない
周期的に増加した罰を隠す
2
代替する
ベースライン提案ベースライン
1
10 1001,000
16 nm 10 nm 7 nm 5 nm 3 nm 2 nm
トランジスタ密度[MTR/mm]ノード (同じノード名)[NM]
注:マスク層ごとに1.2日共用すると仮定する
33


LOGO

HIGH-NA EUV:戦略方向
EUVは次世代高度ノードのための経済的に効率的な拡張スライド34
29 Sept. 2021
顧客価値High−NA EUV ASML High−NA EUV製品改良
0.55 NAはより1.7倍小さい機能 をサポート
技術路線図の密度向上2.9倍:ノードあたり(解像度),向上
ナノイメージング、カバーと欠陥(レチクルとウェハ
レベル)
より高い撮像コントラストを40%実現できます
時間の経過に伴い、現地のCDU生産力路線図の改善:生産性の向上
性能は1.4倍,パターン可変性は1.4倍低下した
比較的低い用量
挿入に成功するためのキー焦点15%のパターン化コストの節約
階層化VS代替案(2倍EUV)当社のお客様
良いウエハは既存のEUVプラットフォームと共通して、減少する
複数の技術リスク、開発コスト、転換コストが低いため、1日当たりのコストが高い収益率
パターン層:クライアントのマスク数が35%減少
2ナノメートル以下のプロセスノード
システムの成熟度と保守性に重点を置いて支援する
プロセスの複雑さを低減して、私たちのお客様は高容量性能期待を得ました
サイクル時間を15%短縮し、学習周期を15%短縮し、私たちの顧客と早期に接触して解決します
時間だ 市場収益時間生態系の準備性
公衆
34


LOGO

HIGH−NA EUVは実現段階 にある
複数のASMLとベンダ場所のEUVスライド35
29 Sept. 2021
Oberkochen、ドイツの光学系製造施設
ヴェルドホーウィンは
オランダでは
システム底層テスト
EUV 0.55 nA光学素子
フランスのトルン、アメリカのウィルトン、フレーム研削、システムのトップレベルテスト
公共
35


LOGO

EUV 0.55 NAはEUV製品の組み合わせに添加される予定です
EUVは2025年に高生産量を実現しながら、0.33 NAプラットフォームスライド36の改善を続けている
29 Sept. 2021
2020 2021 202220232024³2025
0.33 NA連続画像化、NXE:3600オーバーレイおよび動作効率向上NXE:3800 E、 行NXE:4000 F
クライアントの進歩にともない,1.1 nmnode|160 HVMwph要求がある.195 WHr/220 WHr 220 WHr
EUV ASMLRとDHVM
0.55 NAは経済的な拡張をサポートし、現在の10年を超えて
EXE:5000EXE:5000 EXE:5200
ASML FabEXEプラットフォームでは,EUV 0.55 NA NXEプラットフォーム,EUV 0.33 NA
公衆
36


LOGO

EUV,DUVと にまたがるST汎用性高NAプラットフォーム
スライド37
TRUは、より速く、より費用対効果的な革新、生産、メンテナンスを実現することができます。2021年
DUV EUV
整列センサー
汎用技術液面センサー
の2種類の計測に用いられる
DUV及びEUVプラットフォームウエハ処理
NXT(193 nmドライ)
共通性技術
使用されています
DUV製品:NXT
NXT:870 NXT:1470 NXT:2050i
EUV高校低音
センサー信号源を合わせる
第 レベルセンサ計測
共通技術ウエハ架台
両Reticle Stageとも を用いた
EUVプラットフォームウエハ処理
37


LOGO

STは顧客が毎日良質なウエハを最大限に獲得することを可能にする
スライド38
Tru次はシステム停止時間を最大限に減らす9月29日2021年
100% 100%100%
システム停止時間サービスシステム停止時間
お客様のニーズに応じて
標準化
定義>97%のプロセス効率低下
例えば、システムは、満足するために停止する
顧客仕様、層
システム停止後の資格は
欠陥監視とより多くの情報>90-95%
> 85-90%
システム稼働時間システム正常稼働時間生産
顧客ウエハを生産することができます
ウエハ板
履歴サービスモデル:新しいサービスモデル:
スキャナの可用性を最大限に高めて毎日の品質を最大限に向上させる
公衆
38


LOGO

ST EUVは最も省エネルギーな解決策である
スライド39
Tru我々は 代替プロセスより45%以上のエネルギー節約を予定しており,9月29日である。2021年
EUV 0.33EUV 0.55
電力降下電力消費削減
EUV 0.33の作業効率に浸る[WPH] EUV 0.33 to EUV 0.55 at 220 wph
側壁補助乾食
四極(Br)パターン化Arfi
EUVLito-Etch-Litho-Etch 0.33 NA-46%
100 WPH-45%金属化
計量リソグラフィ0.55 北米
145 WPH堆積
(today) 05101520
湿式エッチング
220 wph
(2025)
0 5 101520
資料源:SRI Samavedam A.O.,IMEC,“論理スケーリングの未来:原子チャネルと脱構造チップへ”,IEDM,2020年12月,ASMLによって拡張された。 公共
39


LOGO

技術戦略
全体的なフォトリソグラフィ路線図は私たち独特の
キー情報 スライド40を介してクライアント価値のモデル化制御ソリューションを提供する
29 Sept. 2021
製品の性能を向上させた
ムーアの法則はよく生きている!業界革新:ASMLの包括的な製品の組み合わせと私たちの
システム拡張に後押しされて,引き続き高価値顧客のロードマップを提供し,支援面で経済的に効率的な解決策を提供する
半導体製品です。フロントノードから成熟ノードまでのすべての アプリケーション
*半導体システム拡張は、次世代EUV(Br)技術の指数的成長を実現しますHIGH-NA、進行中
性能向上とエネルギー低減は油井 を支持し,フォトリソグラフィ路線図の進入を推進することになる
データ交換は著しく増加した。次の10年
*お客様の路線図は引き続き削減する必要があり、戦略的優先順位は継続される予定です
エッジ配置誤差を減少させ、経済的な拡張を推進し、お客様に経済的で効率的な解決策を提供します
次の十年に入ります。産業路線図を次の10年に延長し
私たちの長期的な持続可能な開発を支援する約束は
40


LOGO

前向きに陳述する
スライド41
29 Sept. 2021
本プレゼンテーションには、予想される純売上高、毛金利、研究開発コスト、SG&Aコストと有効税率、2025年の年間収入機会、2025年の財務モデルと仮定、および予想成長率と駆動要素、予想成長率(2020-2025年と2020-2030年の成長率を含む)、総目標市場、予測成長率および予想財務結果を含む展望的な陳述が含まれている。2025年以降の成長機会と予想されるリソグラフィ、計量および検出システムの年間成長率と設置基礎管理の予想年間成長率、2030年前のアドレス可能市場の予想傾向、論理とメモリ収入の予想傾向br機会、長期成長機会と見通し、需要と需要駆動要素の予想傾向、システムと応用の予想利益と性能、半導体端末市場傾向、半導体業界の予想成長、今後数年間の予想需要増加と資本支出、予想されるウエハ需要増加とウエハ生産能力への投資、予想されるリソグラフィ市場需要と増加 と支出を含む。成長機会と駆動要因、EUVとDUV需要の予想傾向、販売、見通し、路線図、機会と生産能力の増加、および予想されるEUV採用率、収益力、可用性、生産性と生産性 および推定されたウエハ需要と価値改善、応用業務の予想傾向、設置基礎管理の予想傾向(予想収入と目標利益率を含む)、応用業務の予想傾向と成長機会、と高正味現在価値、生産能力増加の期待、計画、戦略および戦略の優先事項と方向、需要を満たすために生産能力、生産量および生産量を増加させる期待、ムーアの法則との変化、製品、技術および顧客路線図、ならびに株式買い戻しと増加する年間化配当金の組み合わせによって株主に大量の現金を返し続ける意図、およびESGコミットメント、持続可能な発展戦略に関する声明を含む、資本分配政策、配当および株式買い戻しに関する声明および意図。目標、計画、そしてマイルストーン。一般に、これらの陳述は、可能性、将、可能、すべき、プロジェクト、信じ、予想、期待、計画、予測、予測、潜在、意向、継続、目標、未来、進行、目標、目標、または同様の言葉を使用することによって識別することができる。これらの陳述は歴史的事実ではなく、私たちの業務と未来の財務業績に対する現在の期待、推定、仮説と予測に基づいており、読者はそれらに過度に依存してはならない。展望性陳述は未来の業績を保証することができず、大量の既知と未知のリスクと不確定性に関連する。これらのリスクと不確定性は、経済状況、製品需要と半導体設備業界の生産能力、全世界の半導体需要と製造生産能力の利用率、半導体端末市場の傾向、全体の経済状況が消費者自信と顧客製品需要に与える影響、著者らのシステムの性能を含むが、これらに限定されない, 新冠肺炎疫病の影響と取られた制御措置が世界経済と金融市場に与える影響、及びアスリコン及びその顧客とサプライヤーへの影響、及び他のアスリカンの販売と毛金利に影響を与える可能性のある要素、顧客需要とアスリカンがその製品の供給を獲得する能力、研究開発計画と技術進歩の成功と新製品開発の速度、顧客の新製品に対する受け入れと需要、生産能力と需要を満たす能力を向上させ、注文、出荷、確認収入で確認されたシステムの数量と時間、私たちのシステムの生産能力、システム生産とサプライチェーン生産能力遅延のリスク、制限、不足と中断、br半導体業界の傾向、私たちが特許と知的財産権を保護する能力および知的財産権紛争と訴訟の結果、原材料の可用性、キー製造設備と合格従業員および労働市場の傾向、地政学的要因、貿易環境;輸出入及び国家安全規制及び注文及びそれが我々に与える影響、持続可能な目標を達成する能力、為替レート及び税率の変化、利用可能な流動性及び流動性要件、我々の債務再融資の能力、利用可能な現金及び分配可能な備蓄、並びに配当支払い及び株式買い戻しに影響を与える他の要因、株式買い戻し計画の結果、並びにASMLの12月31日までの年次報告に含まれるリスク要因に示される他のリスク, 2020年にアメリカ証券取引委員会に提出された他の書類と書類。これらの前向きな陳述は,本文書の発行日からのみ行われる.法律の要件を除いて、本報告書の発行日後に任意の前向き陳述を更新したり、そのような陳述を実際の結果または改正後の予想に適合させる義務はありません。公衆
41


LOGO

ASML小談2021 42