asml-2021123100009379662021财年假象☒假象假象假象Http://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesCurrentHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesCurrentHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesCurrentHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesCurrentHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilitiesHttp://fasb.org/us-gaap/2021-01-31#OtherLiabilities2575502000009379662021-01-012021-12-310000937966Dei:商业联系人成员2021-01-012021-12-3100009379662021-12-31Xbrli:共享asml:numberOfNationals0000937966美国-公认会计准则:产品成员2019-01-012019-12-31ISO4217:欧元0000937966美国-公认会计准则:产品成员2020-01-012020-12-310000937966美国-公认会计准则:产品成员2021-01-012021-12-310000937966ASML:ServiceAndFieldOptions成员2019-01-012019-12-310000937966ASML:ServiceAndFieldOptions成员2020-01-012020-12-310000937966ASML:ServiceAndFieldOptions成员2021-01-012021-12-3100009379662019-01-012019-12-3100009379662020-01-012020-12-31ISO4217:欧元Xbrli:共享00009379662020-12-310000937966美国-美国公认会计准则:普通股成员2021-12-310000937966美国-美国公认会计准则:普通股成员2020-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2020-12-310000937966美国-美国公认会计准则:普通股成员2018-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2018-12-310000937966美国-公认会计准则:财政部股票成员2018-12-310000937966美国-公认会计准则:保留预付款成员2018-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2018-12-3100009379662018-12-310000937966美国-公认会计准则:保留预付款成员2019-01-012019-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2019-01-012019-12-310000937966美国-美国公认会计准则:普通股成员2019-01-012019-12-310000937966美国-公认会计准则:财政部股票成员2019-01-012019-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2019-01-012019-12-310000937966美国-美国公认会计准则:普通股成员2019-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2019-12-310000937966美国-公认会计准则:财政部股票成员2019-12-310000937966美国-公认会计准则:保留预付款成员2019-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2019-12-3100009379662019-12-310000937966美国-公认会计准则:保留预付款成员2020-01-012020-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2020-01-012020-12-310000937966美国-美国公认会计准则:普通股成员2020-01-012020-12-310000937966美国-公认会计准则:财政部股票成员2020-01-012020-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2020-01-012020-12-310000937966美国-美国公认会计准则:普通股成员2020-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2020-12-310000937966美国-公认会计准则:财政部股票成员2020-12-310000937966美国-公认会计准则:保留预付款成员2020-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2020-12-310000937966美国-公认会计准则:保留预付款成员2021-01-012021-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2021-01-012021-12-310000937966美国-美国公认会计准则:普通股成员2021-01-012021-12-310000937966美国-公认会计准则:财政部股票成员2021-01-012021-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2021-01-012021-12-310000937966美国-美国公认会计准则:普通股成员2021-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2021-12-310000937966美国-公认会计准则:财政部股票成员2021-12-310000937966美国-公认会计准则:保留预付款成员2021-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2021-12-310000937966Asml:FundingprovidedfortoolingequitymethodinvestmentMember2021-01-012021-12-310000937966Asml:FundingprovidedfortoolingequitymethodinvestmentMember2020-01-012020-12-310000937966Asml:FundingprovidedfortoolingequitymethodinvestmentMember2019-01-012019-12-310000937966SRT:最小成员数2021-12-31ASML:员工asml:大陆Xbrli:纯0000937966asml:EuvMember2021-01-012021-12-31ASML:单位0000937966ASML:ArfiMember2021-01-012021-12-310000937966ASML:ArfDryMember2021-01-012021-12-310000937966ASML:KrfMember2021-01-012021-12-310000937966ASML:IlineMember2021-01-012021-12-310000937966asml:MetrologyandinspectionMember2021-01-012021-12-310000937966asml:EuvMember2020-01-012020-12-310000937966ASML:ArfiMember2020-01-012020-12-310000937966ASML:ArfDryMember2020-01-012020-12-310000937966ASML:KrfMember2020-01-012020-12-310000937966ASML:IlineMember2020-01-012020-12-310000937966asml:MetrologyandinspectionMember2020-01-012020-12-310000937966asml:EuvMember2019-01-012019-12-310000937966ASML:ArfiMember2019-01-012019-12-310000937966ASML:ArfDryMember2019-01-012019-12-310000937966ASML:KrfMember2019-01-012019-12-310000937966ASML:IlineMember2019-01-012019-12-310000937966asml:MetrologyandinspectionMember2019-01-012019-12-310000937966asml:LogicMember美国-公认会计准则:产品成员2021-01-012021-12-310000937966asml:member美国-公认会计准则:产品成员2021-01-012021-12-310000937966asml:LogicMember美国-公认会计准则:产品成员2020-01-012020-12-310000937966asml:member美国-公认会计准则:产品成员2020-01-012020-12-310000937966asml:LogicMember美国-公认会计准则:产品成员2019-01-012019-12-310000937966asml:member美国-公认会计准则:产品成员2019-01-012019-12-3100009379662022-01-012021-12-3100009379662021-01-012020-12-31asml:段0000937966美国-公认会计准则:产品成员asml:NewSystemsMember2019-01-012019-12-310000937966美国-公认会计准则:产品成员asml:NewSystemsMember2020-01-012020-12-310000937966美国-公认会计准则:产品成员asml:NewSystemsMember2021-01-012021-12-310000937966ASML:使用的系统成员美国-公认会计准则:产品成员2019-01-012019-12-310000937966ASML:使用的系统成员美国-公认会计准则:产品成员2020-01-012020-12-310000937966ASML:使用的系统成员美国-公认会计准则:产品成员2021-01-012021-12-310000937966国家:JP2021-01-012021-12-310000937966国家:JP2021-12-310000937966国家:KR2021-01-012021-12-310000937966国家:KR2021-12-310000937966国家/地区:南加州2021-01-012021-12-310000937966国家/地区:南加州2021-12-310000937966国家:台湾2021-01-012021-12-310000937966国家:台湾2021-12-310000937966国家:CN2021-01-012021-12-310000937966国家:CN2021-12-310000937966ASML:RestofAsia成员2021-01-012021-12-310000937966ASML:RestofAsia成员2021-12-310000937966国家:内华达州2021-01-012021-12-310000937966国家:内华达州2021-12-310000937966asml:RestofEuropeandMiddleEastMember2021-01-012021-12-310000937966asml:RestofEuropeandMiddleEastMember2021-12-310000937966国家:美国2021-01-012021-12-310000937966国家:美国2021-12-310000937966国家:JP2020-01-012020-12-310000937966国家:JP2020-12-310000937966国家:KR2020-01-012020-12-310000937966国家:KR2020-12-310000937966国家/地区:南加州2020-01-012020-12-310000937966国家/地区:南加州2020-12-310000937966国家:台湾2020-01-012020-12-310000937966国家:台湾2020-12-310000937966国家:CN2020-01-012020-12-310000937966国家:CN2020-12-310000937966ASML:RestofAsia成员2020-01-012020-12-310000937966ASML:RestofAsia成员2020-12-310000937966国家:内华达州2020-01-012020-12-310000937966国家:内华达州2020-12-310000937966asml:RestofEuropeandMiddleEastMember2020-01-012020-12-310000937966asml:RestofEuropeandMiddleEastMember2020-12-310000937966国家:美国2020-01-012020-12-310000937966国家:美国2020-12-310000937966国家:JP2019-01-012019-12-310000937966国家:JP2019-12-310000937966国家:KR2019-01-012019-12-310000937966国家:KR2019-12-310000937966国家/地区:南加州2019-01-012019-12-310000937966国家/地区:南加州2019-12-310000937966国家:台湾2019-01-012019-12-310000937966国家:台湾2019-12-310000937966国家:CN2019-01-012019-12-310000937966国家:CN2019-12-310000937966ASML:RestofAsia成员2019-01-012019-12-310000937966ASML:RestofAsia成员2019-12-310000937966国家:内华达州2019-01-012019-12-310000937966国家:内华达州2019-12-310000937966asml:RestofEuropeandMiddleEastMember2019-01-012019-12-310000937966asml:RestofEuropeandMiddleEastMember2019-12-310000937966国家:美国2019-01-012019-12-310000937966国家:美国2019-12-310000937966US-GAAP:客户集中度风险成员美国-GAAP:SalesRevenueNetMembers2021-12-31ASML:客户0000937966US-GAAP:客户集中度风险成员美国-GAAP:SalesRevenueNetMembers2021-01-012021-12-310000937966US-GAAP:客户集中度风险成员ASML:两个客户成员美国-GAAP:SalesRevenueNetMembers2021-01-012021-12-310000937966US-GAAP:客户集中度风险成员美国-GAAP:SalesRevenueNetMembers2020-12-310000937966US-GAAP:客户集中度风险成员美国-GAAP:SalesRevenueNetMembers2020-01-012020-12-310000937966US-GAAP:客户集中度风险成员美国-GAAP:SalesRevenueNetMembersasml:ThreeCustomersMember2020-01-012020-12-310000937966US-GAAP:客户集中度风险成员美国-GAAP:SalesRevenueNetMembers2019-01-012019-12-310000937966US-GAAP:客户集中度风险成员美国-GAAP:SalesRevenueNetMembersasml:ThreeCustomersMember2019-01-012019-12-310000937966SRT:最小成员数2021-01-012021-12-310000937966SRT:最大成员数2021-01-012021-12-310000937966美国-公认会计准则:银行存款时间成员2020-12-310000937966美国-公认会计准则:银行存款时间成员2021-12-310000937966美国-GAAP:公允价值衡量递归成员2020-12-310000937966美国-GAAP:公允价值衡量递归成员2021-12-310000937966美国-GAAP:InterestBearingDepositsMembers2020-12-310000937966美国-GAAP:InterestBearingDepositsMembers2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-01-012021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2017-06-292017-06-290000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberasml:SharofnetincomelosafteraccountingpolicyMember2021-01-012021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberasml:SharofnetincomelosafteraccountingpolicyMember2020-01-012020-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberasml:DeferredIncomeTriggeredByContractModificationMember2021-01-012021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberasml:基础差异摊销相关无形资产成员2021-01-012021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberasml:基础差异摊销相关无形资产成员2020-01-012020-12-310000937966ASML:公司间盈利消除成员ASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-01-012021-12-310000937966ASML:公司间盈利消除成员ASML:CarlZeissSMTHoldingGmbHCo.KGMember2020-01-012020-12-310000937966ASML:柏林GlasMember2020-10-300000937966ASML:柏林GlasMember2020-10-302020-10-300000937966Asml:MedicalApplicationsAndSwissOpticBusinessMember2021-11-300000937966Asml:MedicalApplicationsAndSwissOpticBusinessMember2021-01-012021-12-31ASML:报告单位0000937966ASML:RuAsmlMember2021-12-310000937966ASML:RuAsmlMember2020-12-310000937966ASML:RuClsMember2021-12-310000937966ASML:RuClsMember2020-12-310000937966asml:品牌成员2021-01-012021-12-310000937966SRT:最小成员数美国-GAAP:Intelligence ectualPropertyMember2021-01-012021-12-310000937966SRT:最大成员数美国-GAAP:Intelligence ectualPropertyMember2021-01-012021-12-310000937966US-GAAP:基于技术的无形资产成员SRT:最小成员数2021-01-012021-12-310000937966US-GAAP:基于技术的无形资产成员SRT:最大成员数2021-01-012021-12-310000937966SRT:最小成员数US-GAAP:客户关系成员2021-01-012021-12-310000937966US-GAAP:客户关系成员SRT:最大成员数2021-01-012021-12-310000937966美国公认会计准则:其他无形资产成员SRT:最小成员数2021-01-012021-12-310000937966美国公认会计准则:其他无形资产成员SRT:最大成员数2021-01-012021-12-310000937966asml:品牌成员2019-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2019-12-310000937966美国-GAAP:发达的技术权利成员2019-12-310000937966US-GAAP:客户关系成员2019-12-310000937966美国公认会计准则:其他无形资产成员2019-12-310000937966ASML:柏林GlasMemberasml:品牌成员2020-01-012020-12-310000937966ASML:柏林GlasMember美国-GAAP:Intelligence ectualPropertyMember2020-01-012020-12-310000937966美国-GAAP:发达的技术权利成员ASML:柏林GlasMember2020-01-012020-12-310000937966US-GAAP:客户关系成员ASML:柏林GlasMember2020-01-012020-12-310000937966美国公认会计准则:其他无形资产成员ASML:柏林GlasMember2020-01-012020-12-310000937966ASML:柏林GlasMember2020-01-012020-12-310000937966asml:品牌成员2020-01-012020-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2020-01-012020-12-310000937966美国-GAAP:发达的技术权利成员2020-01-012020-12-310000937966US-GAAP:客户关系成员2020-01-012020-12-310000937966美国公认会计准则:其他无形资产成员2020-01-012020-12-310000937966asml:品牌成员2020-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2020-12-310000937966美国-GAAP:发达的技术权利成员2020-12-310000937966US-GAAP:客户关系成员2020-12-310000937966美国公认会计准则:其他无形资产成员2020-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2021-01-012021-12-310000937966美国-GAAP:发达的技术权利成员2021-01-012021-12-310000937966US-GAAP:客户关系成员2021-01-012021-12-310000937966美国公认会计准则:其他无形资产成员2021-01-012021-12-310000937966asml:品牌成员2021-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2021-12-310000937966美国-GAAP:发达的技术权利成员2021-12-310000937966US-GAAP:客户关系成员2021-12-310000937966美国公认会计准则:其他无形资产成员2021-12-310000937966asml:累积摊销成员asml:品牌成员2020-01-012020-12-310000937966asml:累积摊销成员美国-GAAP:Intelligence ectualPropertyMember2020-01-012020-12-310000937966美国-GAAP:发达的技术权利成员asml:累积摊销成员2020-01-012020-12-310000937966US-GAAP:客户关系成员asml:累积摊销成员2020-01-012020-12-310000937966美国公认会计准则:其他无形资产成员asml:累积摊销成员2020-01-012020-12-310000937966asml:累积摊销成员2020-01-012020-12-310000937966asml:累积摊销成员asml:品牌成员2021-01-012021-12-310000937966asml:累积摊销成员美国-GAAP:Intelligence ectualPropertyMember2021-01-012021-12-310000937966美国-GAAP:发达的技术权利成员asml:累积摊销成员2021-01-012021-12-310000937966US-GAAP:客户关系成员asml:累积摊销成员2021-01-012021-12-310000937966美国公认会计准则:其他无形资产成员asml:累积摊销成员2021-01-012021-12-310000937966asml:累积摊销成员2021-01-012021-12-310000937966美国-GAAP:销售成本成员2019-01-012019-12-310000937966美国-GAAP:销售成本成员2020-01-012020-12-310000937966美国-GAAP:销售成本成员2021-01-012021-12-310000937966美国-公认会计准则:研究和开发费用成员2019-01-012019-12-310000937966美国-公认会计准则:研究和开发费用成员2020-01-012020-12-310000937966美国-公认会计准则:研究和开发费用成员2021-01-012021-12-310000937966Us-gaap:SellingGeneralAndAdministrativeExpensesMember2019-01-012019-12-310000937966Us-gaap:SellingGeneralAndAdministrativeExpensesMember2020-01-012020-12-310000937966Us-gaap:SellingGeneralAndAdministrativeExpensesMember2021-01-012021-12-310000937966SRT:最小成员数US-GAAP:构建和构建改进成员2021-01-012021-12-310000937966SRT:最大成员数US-GAAP:构建和构建改进成员2021-01-012021-12-310000937966SRT:最小成员数美国-GAAP:机器和设备成员2021-01-012021-12-310000937966SRT:最大成员数美国-GAAP:机器和设备成员2021-01-012021-12-310000937966美国-公认会计准则:租赁改进成员SRT:最小成员数2021-01-012021-12-310000937966美国-公认会计准则:租赁改进成员SRT:最大成员数2021-01-012021-12-310000937966SRT:最小成员数美国-GAAP:家具和固定设备成员2021-01-012021-12-310000937966SRT:最大成员数美国-GAAP:家具和固定设备成员2021-01-012021-12-310000937966ASML:LandBuildingsAndConstructionsMember2019-12-310000937966美国-GAAP:机器和设备成员2019-12-310000937966美国-公认会计准则:租赁改进成员2019-12-310000937966美国-GAAP:其他机器和设备成员2019-12-310000937966ASML:柏林GlasMemberASML:LandBuildingsAndConstructionsMember2020-01-012020-12-310000937966ASML:柏林GlasMember美国-GAAP:机器和设备成员2020-01-012020-12-310000937966美国-公认会计准则:租赁改进成员ASML:柏林GlasMember2020-01-012020-12-310000937966美国-GAAP:其他机器和设备成员ASML:柏林GlasMember2020-01-012020-12-310000937966ASML:LandBuildingsAndConstructionsMember2020-01-012020-12-310000937966美国-GAAP:机器和设备成员2020-01-012020-12-310000937966美国-公认会计准则:租赁改进成员2020-01-012020-12-310000937966美国-GAAP:其他机器和设备成员2020-01-012020-12-310000937966ASML:LandBuildingsAndConstructionsMember2020-12-310000937966美国-GAAP:机器和设备成员2020-12-310000937966美国-公认会计准则:租赁改进成员2020-12-310000937966美国-GAAP:其他机器和设备成员2020-12-310000937966ASML:LandBuildingsAndConstructionsMember2021-01-012021-12-310000937966美国-GAAP:机器和设备成员2021-01-012021-12-310000937966美国-公认会计准则:租赁改进成员2021-01-012021-12-310000937966美国-GAAP:其他机器和设备成员2021-01-012021-12-310000937966ASML:LandBuildingsAndConstructionsMember2021-12-310000937966美国-GAAP:机器和设备成员2021-12-310000937966美国-公认会计准则:租赁改进成员2021-12-310000937966美国-GAAP:其他机器和设备成员2021-12-310000937966ASML:属性成员2020-12-310000937966ASML:属性成员2021-12-310000937966ASML:CarsMember2020-12-310000937966ASML:CarsMember2021-12-310000937966美国-GAAP:设备成员2020-12-310000937966美国-GAAP:设备成员2021-12-310000937966ASML:仓库成员2020-12-310000937966ASML:仓库成员2021-12-310000937966ASML:其他成员2020-12-310000937966ASML:其他成员2021-12-310000937966ASML:属性成员2019-01-012019-12-310000937966ASML:属性成员2020-01-012020-12-310000937966ASML:属性成员2021-01-012021-12-310000937966ASML:CarsMember2019-01-012019-12-310000937966ASML:CarsMember2020-01-012020-12-310000937966ASML:CarsMember2021-01-012021-12-310000937966美国-GAAP:设备成员2019-01-012019-12-310000937966美国-GAAP:设备成员2020-01-012020-12-310000937966美国-GAAP:设备成员2021-01-012021-12-310000937966ASML:仓库成员2019-01-012019-12-310000937966ASML:仓库成员2020-01-012020-12-310000937966ASML:仓库成员2021-01-012021-12-310000937966ASML:其他成员2019-01-012019-12-310000937966ASML:其他成员2020-01-012020-12-310000937966ASML:其他成员2021-01-012021-12-310000937966Asml:ZeroPointSixTwoFivePercentSeniorNotesDueTwoThousandTwentyTwoMember2016-07-310000937966Asml:ZeroPointSixTwoFivePercentSeniorNotesDueTwoThousandTwentyTwoMember2020-12-310000937966Asml:ZeroPointSixTwoFivePercentSeniorNotesDueTwoThousandTwentyTwoMember2021-12-310000937966Asml:ThreePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentyThreeMember2013-09-300000937966Asml:ThreePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentyThreeMember2020-12-310000937966Asml:ThreePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentyThreeMember2021-12-310000937966Asml:OnePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentySixMember2016-07-310000937966Asml:OnePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentySixMember2020-12-310000937966Asml:OnePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentySixMember2021-12-310000937966Asml:OnePointSixTwoFivePercentSeniorNotesDueTwoThousandTwentySevenMember2016-11-300000937966Asml:OnePointSixTwoFivePercentSeniorNotesDueTwoThousandTwentySevenMember2020-12-310000937966Asml:OnePointSixTwoFivePercentSeniorNotesDueTwoThousandTwentySevenMember2021-12-310000937966ASML:老年通知日期2月25日203002502020-02-290000937966ASML:老年通知日期2月25日203002502020-12-310000937966ASML:老年通知日期2月25日203002502021-12-310000937966asml:SeniorNote20290625Member2020-05-310000937966asml:SeniorNote20290625Member2020-12-310000937966asml:SeniorNote20290625Member2021-12-310000937966Asml:DebtAssumedInBerlinerGlasAcquisitionMember2020-12-310000937966Asml:DebtAssumedInBerlinerGlasAcquisitionMember2021-12-310000937966ASML:摊销成本欧元债券成员ASML:欧洲债券成员2020-12-310000937966ASML:摊销成本欧元债券成员ASML:欧洲债券成员2021-12-310000937966Asml:FairValueAdjustmentInterestRateSwapsMemberASML:欧洲债券成员2020-12-310000937966Asml:FairValueAdjustmentInterestRateSwapsMemberASML:欧洲债券成员2021-12-310000937966ASML:欧洲债券成员2020-12-310000937966ASML:欧洲债券成员2021-12-310000937966ASML:柏林GlasMember2021-12-310000937966SRT:最小成员数ASML:柏林GlasMember2021-01-012021-12-310000937966SRT:最大成员数ASML:柏林GlasMember2021-01-012021-12-31ASML:选项0000937966美国-公认会计准则:长期债务成员2021-12-310000937966ASML:运营租赁权限成员2021-12-310000937966ASML:购买权限成员2021-12-310000937966ASML:客户支持部门成员2019-12-310000937966ASML:客户支持部门成员2020-12-310000937966ASML:客户支持部门成员2021-12-310000937966ASML:制造和物流成员2019-12-310000937966ASML:制造和物流成员2020-12-310000937966ASML:制造和物流成员2021-12-310000937966ASML:Strategic SupplyManagement SectorMember2019-12-310000937966ASML:Strategic SupplyManagement SectorMember2020-12-310000937966ASML:Strategic SupplyManagement SectorMember2021-12-310000937966ASML:一般和管理部门成员2019-12-310000937966ASML:一般和管理部门成员2020-12-310000937966ASML:一般和管理部门成员2021-12-310000937966ASML:SalesSectorMember2019-12-310000937966ASML:SalesSectorMember2020-12-310000937966ASML:SalesSectorMember2021-12-310000937966ASML:研究和开发部门成员2019-12-310000937966ASML:研究和开发部门成员2020-12-310000937966ASML:研究和开发部门成员2021-12-310000937966ASML:临时编号FTEsMember2019-12-310000937966ASML:临时编号FTEsMember2020-12-310000937966ASML:临时编号FTEsMember2021-12-310000937966asml:NumberofPayrollesMember2019-12-310000937966asml:NumberofPayrollesMember2020-12-310000937966asml:NumberofPayrollesMember2021-12-310000937966asml:BoMMember2019-01-012019-12-310000937966asml:BoMMember2020-01-012020-12-310000937966asml:BoMMember2021-01-012021-12-310000937966ASML:FormerBoardOfManagementMember2019-01-012019-12-310000937966ASML:FormerBoardOfManagementMember2020-01-012020-12-310000937966ASML:FormerBoardOfManagementMember2021-01-012021-12-310000937966ASML:其他员工成员2019-01-012019-12-310000937966ASML:其他员工成员2020-01-012020-12-310000937966ASML:其他员工成员2021-01-012021-12-31ASML:平面0000937966ASML:荷兰多雇主工会成员2021-01-012021-12-31ASML:人ASML:公司Utr:费率0000937966ASML:荷兰多雇主工会成员2020-01-012020-12-310000937966ASML:荷兰多雇主工会成员2019-01-012019-12-310000937966ASML:荷兰多雇主工会成员2021-12-310000937966ASML:荷兰多雇主工会成员2020-12-310000937966ASML:TotalShareholderReturnMember2021-12-310000937966asml:ROAICMember2021-12-310000937966ASML:技术领导索引成员2021-12-310000937966ASML:可持续性成员2021-12-310000937966ASML:ASML成员2019-01-012019-12-310000937966ASML:ASML成员2020-01-012020-12-310000937966ASML:ASML成员2021-01-012021-12-310000937966交换:XPHL2019-01-012019-12-310000937966交换:XPHL2020-01-012020-12-310000937966交换:XPHL2021-01-012021-12-310000937966ASML:欧元区成员2019-01-012019-12-310000937966ASML:欧元区成员2020-01-012020-12-310000937966ASML:欧元区成员2021-01-012021-12-310000937966ASML:EURDominatedAwards成员2019-01-012019-12-310000937966ASML:EURDominatedAwards成员2020-01-012020-12-310000937966ASML:EURDominatedAwards成员2021-01-012021-12-310000937966ASML:USDenominedAwardsMember2019-01-012019-12-310000937966ASML:USDenominedAwardsMember2020-01-012020-12-310000937966ASML:USDenominedAwardsMember2021-01-012021-12-31ISO 4217:美元Xbrli:共享0000937966ASML:欧元条件奖励成员2020-12-310000937966ASML:美国计价条件奖励成员2020-12-310000937966ASML:欧元条件奖励成员2021-01-012021-12-310000937966ASML:美国计价条件奖励成员2021-01-012021-12-310000937966ASML:欧元条件奖励成员2021-12-310000937966ASML:美国计价条件奖励成员2021-12-310000937966ASML:EmployeeStockOptionPlanMember2021-01-012021-12-310000937966ASML:欧元计价选项警告成员2019-01-012019-12-310000937966ASML:欧元计价选项警告成员2020-01-012020-12-310000937966ASML:欧元计价选项警告成员2021-01-012021-12-310000937966asml:USmarticulatedOptionAwardsMember2019-01-012019-12-310000937966asml:USmarticulatedOptionAwardsMember2020-01-012020-12-310000937966asml:USmarticulatedOptionAwardsMember2021-01-012021-12-31ISO 4217:美元0000937966ASML:欧元计价选项警告成员2019-12-310000937966ASML:欧元计价选项警告成员2020-12-310000937966ASML:欧元计价选项警告成员2021-12-310000937966asml:USmarticulatedOptionAwardsMember2019-12-310000937966asml:USmarticulatedOptionAwardsMember2020-12-310000937966asml:USmarticulatedOptionAwardsMember2021-12-310000937966ASML:欧元计价选项警告成员货币:欧元2020-12-310000937966asml:USmarticulatedOptionAwardsMember货币:美元2020-12-310000937966ASML:欧元计价选项警告成员货币:欧元2021-01-012021-12-310000937966asml:USmarticulatedOptionAwardsMember货币:美元2021-01-012021-12-310000937966ASML:欧元计价选项警告成员货币:欧元2021-12-310000937966asml:USmarticulatedOptionAwardsMember货币:美元2021-12-310000937966ASML:欧元计价选项警告成员asml:PriceRangeOneMember2021-01-012021-12-310000937966ASML:欧元计价选项警告成员asml:PriceRangeOneMember2021-12-310000937966asml:USmarticulatedOptionAwardsMemberasml:PriceRangeOneMember2021-01-012021-12-310000937966asml:USmarticulatedOptionAwardsMemberasml:PriceRangeOneMember2021-12-310000937966asml:PriceRangeTwoMemberASML:欧元计价选项警告成员2021-01-012021-12-310000937966asml:PriceRangeTwoMemberASML:欧元计价选项警告成员2021-12-310000937966asml:USmarticulatedOptionAwardsMemberasml:PriceRangeTwoMember2021-01-012021-12-310000937966asml:USmarticulatedOptionAwardsMemberasml:PriceRangeTwoMember2021-12-310000937966ASML:PriceRangeThreeMemberASML:欧元计价选项警告成员2021-01-012021-12-310000937966ASML:PriceRangeThreeMemberASML:欧元计价选项警告成员2021-12-310000937966ASML:PriceRangeThreeMemberasml:USmarticulatedOptionAwardsMember2021-01-012021-12-310000937966ASML:PriceRangeThreeMemberasml:USmarticulatedOptionAwardsMember2021-12-310000937966ASML:PriceRangeFourMemberASML:欧元计价选项警告成员2021-01-012021-12-310000937966ASML:PriceRangeFourMemberASML:欧元计价选项警告成员2021-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeFourMember2021-01-012021-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeFourMember2021-12-310000937966ASML:PriceRangeFiveMemberASML:欧元计价选项警告成员2021-01-012021-12-310000937966ASML:PriceRangeFiveMemberASML:欧元计价选项警告成员2021-12-310000937966ASML:PriceRangeFiveMemberasml:USmarticulatedOptionAwardsMember2021-01-012021-12-310000937966ASML:PriceRangeFiveMemberasml:USmarticulatedOptionAwardsMember2021-12-310000937966ASML:PriceRangeSixMemberASML:欧元计价选项警告成员2021-01-012021-12-310000937966ASML:PriceRangeSixMemberASML:欧元计价选项警告成员2021-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeSixMember2021-01-012021-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeSixMember2021-12-310000937966ASML:PriceRangeSeven成员ASML:欧元计价选项警告成员2021-01-012021-12-310000937966ASML:PriceRangeSeven成员ASML:欧元计价选项警告成员2021-12-310000937966ASML:PriceRangeSeven成员asml:USmarticulatedOptionAwardsMember2021-01-012021-12-310000937966ASML:PriceRangeSeven成员asml:USmarticulatedOptionAwardsMember2021-12-310000937966ASML:PriceRange8成员ASML:欧元计价选项警告成员2021-01-012021-12-310000937966ASML:PriceRange8成员ASML:欧元计价选项警告成员2021-12-310000937966ASML:PriceRange8成员asml:USmarticulatedOptionAwardsMember2021-01-012021-12-310000937966ASML:PriceRange8成员asml:USmarticulatedOptionAwardsMember2021-12-310000937966美国-公认会计准则:员工斯托克成员2021-01-012021-12-310000937966ASML:递延的TaxAssets非当前成员2020-12-310000937966ASML:递延的TaxAssets非当前成员2021-12-310000937966ASML:延期纳税责任非当前成员2020-12-310000937966ASML:延期纳税责任非当前成员2021-12-310000937966ASML:柏林GlasMember2020-12-310000937966ASML:递延的TaxAssets非当前成员2019-12-310000937966ASML:延期纳税责任非当前成员2019-12-310000937966ASML:无过期日期成员2021-12-310000937966ASML:具有过期日期的成员2021-12-310000937966ASML:具有过期日期的成员2021-12-310000937966us-gaap:累积库存成员2021-12-310000937966美国-公认会计准则:公共类别成员2021-12-31asml:registeredHolderASML:存款方接收方0000937966SRT:情景预测成员2021-04-292022-10-2900009379662021-11-012021-11-300000937966asml:ShareBuybackProgram 20212023成员SRT:情景预测成员2021-07-212023-12-310000937966asml:jujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujSRT:情景预测成员2020-01-222022-12-310000937966asml:ShareBuybackProgram 20212023成员2021-01-012021-12-310000937966asml:jujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujuj2021-01-012021-12-3100009379662021-01-212021-01-3100009379662020-01-232021-01-3100009379662021-02-012021-02-2800009379662020-01-232021-02-2800009379662021-03-012021-03-3100009379662020-01-232021-03-3100009379662021-04-012021-04-3000009379662021-01-232021-04-3000009379662021-05-012021-05-3100009379662020-01-232021-05-3100009379662021-06-012021-06-3000009379662020-01-232021-06-3000009379662021-07-012021-07-3100009379662020-01-232021-07-3100009379662021-08-012021-08-3100009379662020-01-232021-08-3100009379662021-09-012021-09-3000009379662020-01-232021-09-3000009379662021-10-012021-10-3100009379662020-01-232021-10-3100009379662020-01-232021-11-3000009379662021-12-012021-12-2300009379662020-01-232021-12-230000937966SRT:情景预测成员2021-04-292022-10-220000937966SRT:最大成员数2021-12-310000937966asml:NetincomeMember货币:美元2020-12-310000937966货币:美元美国-公认会计准则:股权成员2020-12-310000937966asml:NetincomeMember货币:美元2021-12-310000937966货币:美元美国-公认会计准则:股权成员2021-12-310000937966货币:日元asml:NetincomeMember2020-12-310000937966货币:日元美国-公认会计准则:股权成员2020-12-310000937966货币:日元asml:NetincomeMember2021-12-310000937966货币:日元美国-公认会计准则:股权成员2021-12-310000937966币种:台币asml:NetincomeMember2020-12-310000937966币种:台币美国-公认会计准则:股权成员2020-12-310000937966币种:台币asml:NetincomeMember2021-12-310000937966币种:台币美国-公认会计准则:股权成员2021-12-310000937966币种:xxxasml:NetincomeMember2020-12-310000937966币种:xxx美国-公认会计准则:股权成员2020-12-310000937966币种:xxxasml:NetincomeMember2021-12-310000937966币种:xxx美国-公认会计准则:股权成员2021-12-310000937966asml:NetincomeMember2020-12-310000937966美国-公认会计准则:股权成员2020-12-310000937966asml:NetincomeMember2021-12-310000937966美国-公认会计准则:股权成员2021-12-310000937966美国-公认会计准则:外汇远期成员2021-12-31ISO4217:日元ISO4217:台币ISO4217:KRWISO4217:人民币0000937966美国-公认会计准则:外汇远期成员2020-12-310000937966美国-GAAP:销售成本成员2021-12-310000937966美国-GAAP:销售成本成员2020-12-310000937966美国-GAAP:销售成本成员2019-12-310000937966美国-美国公认会计准则:销售成员2021-12-310000937966美国-美国公认会计准则:销售成员2020-12-310000937966美国-美国公认会计准则:销售成员2019-12-310000937966US-GAAP:InterestRateSwapMember2021-12-310000937966US-GAAP:InterestRateSwapMember2020-12-310000937966美国公认会计准则:公允价值对冲成员US-GAAP:InterestRateSwapMember2020-12-310000937966美国公认会计准则:公允价值对冲成员US-GAAP:InterestRateSwapMember2021-12-310000937966美国公认会计准则:现金流量对冲成员美国-公认会计准则:外汇远期成员2020-12-310000937966美国公认会计准则:现金流量对冲成员美国-公认会计准则:外汇远期成员2021-12-310000937966ASML:OtherHedgesMember美国-公认会计准则:外汇远期成员2020-12-310000937966ASML:OtherHedgesMember美国-公认会计准则:外汇远期成员2021-12-31ASML:欧元债券0000937966ASML:四个优秀的欧洲债券成员2021-12-310000937966美国-GAAP:公允价值衡量递归成员美国-公认会计准则:公允价值输入级别1成员2021-12-310000937966美国-GAAP:公允价值衡量递归成员美国-公认会计准则:公允价值输入级别2成员2021-12-310000937966美国-GAAP:公允价值衡量递归成员美国-公认会计准则:公允价值投入级别3成员2021-12-310000937966美国-GAAP:公允价值衡量递归成员美国-公认会计准则:公允价值输入级别1成员2020-12-310000937966美国-GAAP:公允价值衡量递归成员美国-公认会计准则:公允价值输入级别2成员2020-12-310000937966美国-GAAP:公允价值衡量递归成员美国-公认会计准则:公允价值投入级别3成员2020-12-310000937966ASML:CarlZeissSMTGmbHMembersASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-12-310000937966ASML:CarlZeissSMTGmbHMembersSRT:最大成员数2021-12-310000937966ASML:CarlZeissSMTGmbHMembers2021-01-012021-12-310000937966SRT:最小成员数ASML:CarlZeissSMTGmbHMembers2021-12-310000937966ASML:ZeissHighNAFundingCommittee Members2016-01-012020-12-310000937966asml:ResearchdevelopmentsupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2016-01-012020-12-310000937966ASML:ZeissHighNAFundingCommittee Membersasml:CapitalexpendituresupportprovidedMember2016-01-012020-12-31ASML:元素0000937966asml:ResearchdevelopmentsupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2021-01-012021-12-310000937966asml:ResearchdevelopmentsupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2020-01-012020-12-310000937966asml:ResearchdevelopmentsupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2019-01-012019-12-310000937966ASML:CarlZeissSMTGmbHMembersASML:ZeissHighNAFundingCommittee Members2021-09-290000937966ASML:CarlZeissSMTGmbHMembersASML:ZeissHighNAFundingCommittee Members2020-01-012020-12-310000937966ASML:CarlZeissSMTGmbHMembersASML:ZeissHighNAFundingCommittee Members2019-01-012019-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember美国公认会计准则:其他资产成员2020-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember美国公认会计准则:其他资产成员2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberASML:MaximumExposureToLossMember美国公认会计准则:其他资产成员2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember美国-GAAP:PropertyPlantAndEquipmentMembers2020-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember美国-GAAP:PropertyPlantAndEquipmentMembers2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberASML:MaximumExposureToLossMember美国-GAAP:PropertyPlantAndEquipmentMembers2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberASML:MaximumExposureToLossMember2021-12-310000937966ASML:MaximumExposureToLossMember2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2019-01-012019-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2020-01-012020-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-01-012021-12-310000937966Asml:AnyDirectorOrOfficerOfAsmlOrAnyAssociateThereofMember2021-01-012021-12-310000937966Asml:AnyDirectorOrOfficerOfAsmlOrAnyAssociateThereofMember2021-12-310000937966Asml:AnyDirectorOrOfficerOfAsmlOrAnyAssociateThereofMember美国公认会计准则:次要事件成员2022-02-09 美国
美国证券交易委员会
华盛顿特区,20549
表格20-F
依据第13或15(D)条提交的周年报告
1934年《证券交易法》
截至本财政年度止12月31日, 2021
佣金文件编号001-33463
阿斯麦
(注册人的确切姓名载于其章程)
这个荷兰
(注册成立或组织的司法管辖权)
德润6501, 小行星5504Veldhoven,The 荷兰
(主要执行办公室地址)
斯基普·米勒
电话:+1 480235 0934电邮:skip. asml.com
2650 W Geronimo Place, 钱德勒, AZ85224, 美国
(Name、电话、电子邮件和/或公司联系人的工厂号码和地址)
根据该法第12(B)节登记或将登记的证券:
每个班级的标题 交易符号 注册的每个交易所的名称
普通股 ASML 纳斯达克股市有限责任公司
(每股面值0.09欧元)
根据该法第12(G)节登记或将登记的证券:
无
根据该法第15(D)节负有报告义务的证券:
无
注明发行人所属各类别的流通股数目
截至年度报告所涉期间结束时的资本或普通股。
402,601,613普通股
(每股面值0.09欧元)
如果注册人是证券法规则405中定义的知名经验丰富的发行人,请用复选标记表示。
是☒:没有☐
如果此报告是年度报告或过渡报告,请用复选标记表示注册人是否
不需要根据1934年《证券交易法》第13或15(D)节提交报告。
是的☐不是 ☒
用复选标记表示注册人是否:(1)是否已经提交了根据第13或15(D)节要求提交的所有报告
1934年《证券交易法》,在此之前的12个月内(或注册人
被要求提交此类报告),以及(2)在过去90天内一直受到此类备案要求的约束。
是☒:没有☐
用复选标记表示注册人是否已以电子方式提交
根据S-T规则第405条(本章第232.405节),在
在12个月之前(或注册人被要求提交此类档案的较短期限)。
是☒:没有☐
用复选标记表示注册者是大型加速文件服务器、加速文件服务器、非加速文件服务器还是新兴成长型公司。
请参阅《交易法》规则12 b-2中“大型加速备案人”、“加速备案人”和“新兴成长公司”的定义。:
大型加速文件服务器☒:加速文件管理器☐非加速文件管理器☐新兴成长型公司☐
如果一家新兴成长型公司按照美国公认会计原则编制其财务报表,用勾号表示注册人是否已选择不使用延长的过渡期来遵守根据交易法第13(A)节提供的任何新的或修订的财务会计准则。☐
†“新的或修订的财务会计准则”是指财务会计准则委员会在2012年4月5日之后发布的对其会计准则编纂的任何更新。
用复选标记表示注册人是否提交了一份报告,证明其管理层根据《萨班斯-奥克斯利法案》(《美国联邦法典》第15编,第7262(B)节)第404(B)条对其财务报告的内部控制的有效性进行了评估,该评估是由编制或发布其审计报告的注册会计师事务所进行的。
是☒*没有☐
用复选标记表示注册人用来准备的会计基础
本文件中包括的财务报表:
美国公认会计原则☒发布的国际财务报告准则
国际会计准则理事会☐Other☐
如果在回答上一个问题时选中了“Other”(其他),请用复选标记表示
注册人选择遵循的财务报表项目。
项目17☐项目18☐
如果这是年度报告,请用复选标记表示注册人是否为
空壳公司(定义见《交易法》第12b-2条)
是,☐不是☒
获授权接收来自证券交易委员会的通知和通信的人的姓名和地址:
詹姆斯·A·麦克唐纳
Skadden,Arps,Slate,Meagher&Flom(UK)LLP
40 Bank Street,Canary Wharf London E14 5DS英格兰
目录
| | | | | | | | | | | |
| 2021年概览 | | 监事会 |
6 | 来自首席执行官的信息 | 140 | 监事会主席的致辞 |
8 | 2021年亮点 | 142 | 监事会报告 |
| | 157 | 薪酬报告 |
| 我们是谁以及我们做什么 | | |
10 | 我们公司 | | 合并财务报表 |
15 | CTO的消息 | 172 | 独立注册会计师事务所报告 |
17 | 我们如何创新 | 174 | 合并业务报表 |
21 | 客户亲密度 | 175 | 综合全面收益表 |
23 | 我们的产品和服务 | 176 | 合并资产负债表 |
| | 177 | 合并股东权益报表 |
| 我们在半导体价值链中的地位 | 178 | 合并现金流量表 |
29 | 我们的市场 | 179 | 合并财务报表附注 |
30 | 半导体行业趋势和机遇 | | |
34 | 我们的战略 | | 非财务报表 |
| | 222 | 独立审计员的保证报告 |
| 我们2021年的表现 | 224 | 关于非财务信息 |
39 | 我们如何创造价值 | 227 | 非财务指标 |
| 金融 | 240 | 重要性评估 |
43 | 首席财务官的消息 | 244 | 利益相关者参与 |
45 | 财务业绩 | | |
50 | 长期增长机会 | 247 | 其他附录 |
| 环境 | 265 | 定义 |
53 | 气候和能源 | 272 | 展品索引 |
61 | 循环经济 | | |
| 社交 | | |
68 | 我们的人民 | | |
77 | 社区参与 | | |
82 | 创新生态系统 | | |
86 | 我们的供应链 | | |
| 治理 | | |
93 | 公司治理 | | |
110 | 我们如何管理风险 | | |
114 | 风险因素 | | |
123 | 负责任的企业 | | |
| | | |
| | | |
本年度报告中使用的缩写、技术术语和其他术语的定义或解释可在定义一章中找到。在某些情况下,为了方便读者,对数字进行了四舍五入。
本报告包括《荷兰金融市场监督法》第1条第1款和第5条第25c款所指的受管制信息。
在本报告中,为方便起见,有时在提及ASML Holding N.V.和/或其任何子公司的情况下使用名称“ASML”(视上下文需要而定)。
本年报中对本公司网站的引用仅供参考,任何内容或其任何部分均不包含在本报告中作为参考。
ASML2022年,©Holding N.V.保留所有权利。
关于前瞻性陈述的特别说明
除历史信息外,本年度报告还包含与我们的预期业务、结果预测、业务趋势和其他符合1995年私人证券诉讼改革法定义的“前瞻性”事项有关的陈述。你通常可以通过使用“可能”、“将”、“可能”、“应该”、“项目”、“相信”、“预期”、“预期”、“计划”、“估计”、“预测”、“潜在”、“打算”、“继续”等词语以及这些词语或类似词语的变体来识别这些陈述。它们出现在本年度报告的多个地方,包括关于我们预期的趋势和展望、战略、公司优先事项、预期的半导体行业趋势、研发和资本支出以及2030年市场机会、路线图和收入潜力的陈述,以及标题为“半导体行业趋势和机遇”部分的其他陈述、我们客户服务的市场的预期趋势、预期的市场增长和此类趋势和增长的驱动因素、预期财务结果,包括预期销售额、服务收入、营运资本预期趋势、毛利率、预期资本支出、研发和SG&A支出、现金转换周期、目标和预期有效年化税率。2022年的销售目标和展望以及“-趋势信息”项下的其他陈述、2025年的年度收入机会和潜力及增长前景、2022年的预期增长、2025年和2030年的展望以及标题为“长期增长机会”部分下的其他陈述、自由现金流产生的预期持续增长、对未来的投资和向股东返还的现金、我们的优势、劣势、机会和威胁(SWOT)、对升级的预期需求、半导体行业动态和行业机遇、客户需求的预期趋势以及特定系统和升级的需求,以及终端市场的预期趋势,包括内存、逻辑和铸造。包括Logic客户在提升新节点方面的持续投资和存储器客户更强劲的光刻需求,High-NA的预期收益和计划目标在2025-2026年前开始发货High-NA系统和使用High-NA的系统的大批量生产,半导体行业终端市场的市场机会,预期的创新驱动因素,长期利益相关者价值的预期驱动因素,DUV系统收入的预期趋势,DUV的预期销售和DUV将继续为我们的客户创造价值并用于其芯片的大部分层的预期,整体光刻的预期好处和基于安装的管理收入的预期,我们的供应链战略和目标,客户,合作伙伴和行业路线图,ASML的应用业务,High-NA的预期发展及其优势,包括未来新一代EUV系统的预期开发时间,EUV销售额相对于DUV销售额的预期增长,对Carl Zeiss SMT GmbH的间接兴趣和收购柏林Glas的预期优势,预期的EUV采用,我们的系统和服务通过降低成本和价值交付而预期的EUV利润率和利润率改善,我们的工具、系统和项目的预期生产率和效益,EUV生产率目标和目标,潜在的未来创新和系统性能,我们工具和系统的预期发货量,包括需求和发货时间,关于DUV和EUV竞争力的陈述,EUV技术和EUV产业化的发展,预期的生产率升级发布,实现下一代芯片的大量生产和此类芯片的预期设计及其好处,以及收入确认,晶片生产的预测增长,可持续目标,目标和战略,收缩是支持创新和提供长期行业增长的关键驱动因素,光刻技术实现负担得起的收缩和为客户提供价值,环境,多样性和可持续战略,雄心,目标和指标,包括循环采购目标,有针对性的温室气体排放和减少废物,回收和翻新倡议,投资和目标以及节能战略和指标,包括到2025年实现整个业务的零碳排放和能源使用间接排放的目标,以及降低ASML产品制造和使用的价值链中所有其他排放的强度的声明,慈善目标,我们在柏林的工厂火灾对我们的生产、维修中心扩建和目标的影响,我们对摩尔定律的延续的预期,以及EUV将继续支持摩尔定律,并推动ASML的长期价值远远超过当前十年、税收战略、资本分配政策、股息政策。我们预计将继续通过股票回购和股息向股东返还现金,包括我们建议的2021年股息和与我们2021-2023年股票回购计划相关的声明,以及与会计准则预期影响有关的声明。
这些前瞻性陈述不是历史事实,而是基于对业务和我们未来财务业绩的当前预期、估计、假设和预测,读者不应过度依赖它们。前瞻性陈述不能保证未来的业绩,由于某些风险和不确定性,实际结果可能与预期结果大不相同。这些风险和不确定性包括但不限于,我们如何管理风险-风险因素中描述的风险和不确定性。这些前瞻性陈述仅在本年度报告发布之日作出。我们不承诺因新信息、未来事件或其他原因而更新或修订前瞻性陈述。
来自首席执行官的信息
彼得·温宁克(总裁,首席执行官兼管理委员会主席)
尊敬的利益相关者:
2021年是极具挑战性的一年,在充满活力的环境中实现强劲增长。在一场持续的全球大流行中,半导体行业的产量和销售额创下了新的纪录,但仍无法满足对半导体的需求。世界各地的工业都受到这种供应不足的严重影响。尽管有这些具有挑战性的环境,我很自豪地说,在ASML,我们继续发展,并欢迎了许多新同事。ASML的净销售额达到了186亿欧元,我们在韩国吉兴迎来了我们的3万名员工。到目前为止,我们有超过3.2万人,我们预计这种增长将继续下去。这一切都归功于我们行业的显著持续增长,这是由加速的数字转型推动的,当然,部分原因是大流行的影响和向在家工作的过渡。除此之外,我们还看到物联网(IoT)应用的增长强于预期,推动了对越来越多分布式计算解决方案的需求。这一全球趋势让我们重新审视了我们未来的潜在情景,因此,我们看到了一个机会,可以实现我们之前公布的收入潜力的提升,根据2025年的高端市场情景,目前的收入潜力为300亿欧元。
如果没有ASML的人员和我们的合作伙伴,这一切都不可能实现。首先,我们的员工--凭借他们的创造力、毅力、韧性和在困难时期的聪明才智,他们对我们业务的成功至关重要。此外,尽管我们的客户在新冠肺炎危机期间遭遇挫折,但我们依赖于与客户的伙伴关系以及与专注的供应商的伙伴关系。我们依赖国家和地方政府促进社会和经济基础设施,使我们能够取得成功。我们重视与像我们一样理解创新和教育重要性的研究机构的合作伙伴关系。不要忘记我们的股东,他们为我们继续执行技术创新路线图提供了支持,最后是我们与周围社区的合作伙伴关系,没有他们,我们就不会蓬勃发展。
全球大趋势正在推动半导体行业的增长
电子行业有几个大趋势正在塑造我们的数字、互联世界,预计将继续推动整个半导体市场的增长,如人工智能、5G、虚拟现实、游戏、模拟和可视化应用,以及智能云和边缘。随着越来越多的移动和传感器应用程序和服务,我们的社会将越来越依赖分布式计算和存储解决方案。电子行业正在蓬勃发展--目前约有400亿台联网设备在使用,根据外部来源数据,这一数字预计在未来10年内将增长到3500亿台。
推动ASML增长的最重要的终端市场是智能手机市场以及数据中心、服务器和存储市场,但与此同时,我们也看到汽车和工业电子市场对微芯片的需求大幅增加。
需要成熟的解决方案
我们今天看到的增长的另一个方面是,它不仅出现在最先进的节点上--我上面提到的许多分布式计算和存储解决方案都需要成熟的光刻技术来制造。我们预计,到2025年,我们系统总销售额的三分之二将是EUV,其余的将是DUV以及计量和检测。这一预期的EUV百分比低于我们在2018年的预测,但这并不意味着EUV市场已经萎缩--事实上,它预计会增长。但DUV以及计量和检测市场预计将增长更快。
各国都在争取技术主权
全球大流行提醒世界各国政府,全球供应链可能造成对服务、原材料和终端产品的严重地理依赖。各国政府越来越意识到,现在事实也证明了这一点。
对于半导体来说。由于半导体在大型工业综合体的发展和连续性方面发挥着越来越重要的作用,而半导体行业的重要性可能只会增加,各国政府已将注意力转向确保足够的半导体供应来支持本国行业,创造更高水平的技术主权,并计划在半导体行业进行重大投资。根据外部来源数据,美国、中国和欧盟以及日本和韩国预计将使该行业(2021年)1500亿美元的年度资本支出(CAPEX)几乎翻一番。
我们意识到,这引发了人们对潜在供应过剩的担忧。然而,我们认为,半导体行业的显著增长前景确实需要大幅增加产能,鉴于支持这一切的资本支出水平很高,行业合作伙伴将做出足够的努力,以维持一个可访问和高效的创新生态系统。
进入下一个十年
我们相信,摩尔定律所表达的规模优势将在本十年乃至更长时间内持续下去。因此,我们将坚持不懈地投资于创新。此外,我们努力确保ASML及其供应链能够满足日益增长的对更多晶圆的需求,以支持先进和成熟的技术。我们将通过提高我们所有机器类型的生产率和增加更多的制造能力来做到这一点。
为了提高我们自己的生产能力,我们将专注于建造更多的机器,通过缩短EUV和DUV的周期时间,增加更多的人员和工具,并增加我们的生产空间。与供应链合作伙伴一起,我们正在积极增加产能,以满足未来客户的需求。
我们的产品组合非常符合我们客户的路线图。我们将继续提供具有成本效益的解决方案,在EUV、DUV、应用、计量和检测以及客户群管理方面提供价值。
巨大的影响力伴随着巨大的责任
ASML所在的行业具有相当大的创新能力。数字技术本身可以帮助推动社会进步,并具有帮助减少全球温室气体排放的潜力。ASML日益先进的光刻技术帮助我们的客户继续生产微芯片-使用更少的材料和更少的能源消耗-每两年提高三倍的能效。
我们清楚地认识到,气候变化是一项全球性挑战,需要包括我们在内的每个人都采取紧急行动。这就是为什么我们正在加强对ESG(环境、社会和治理)可持续性的关注,我们已将其从五个重点领域扩大到旨在促进联合国可持续发展目标的九部分战略。我们之所以这样做,是因为我们认识到ESG对我们所有利益相关者的重要性与日俱增,但最重要的是,这是一件正确的事情。
在我们的价值观和对企业责任的承诺的推动下,我们希望在社会中发挥积极作用-为我们的员工、我们周围的社区以及参与我们的创新生态系统和供应链的每个人。我们正在扩大我们的社区参与,通过我们新的多元化和包容性战略,我们希望改善我们在这方面的表现。
在我们迄今取得的成就的基础上,我们增加了我们的环境雄心。我们的气候目标是到2030年努力实现垃圾零处置,到2040年实现价值链净零排放,重点关注我们的制造和建筑、商务旅行和通勤,以及我们的供应链和产品使用。
同样,我们将无法单独实现这一目标,而将依赖于与我们的合作伙伴、供应商和客户进行强有力和成功的持续合作。
谢谢
过去几年对我们所有人提出了新的挑战,需要敏捷性、耐心和毅力来克服。作为一个全球社会,我们面临着前所未有的挑战,但凭借其强大的劳动力、伙伴关系和创新能力,ASML正满怀信心地面向未来,为更可持续的增长做准备。我们只有继续成为我们所有利益攸关方信任的合作伙伴,才能做到这一点--我们要感谢他们的承诺和支持。正如我以前多次说过的那样,我们看到了光明的未来,但我们不能单独做到这一点。
彼得·温宁克
首席执行官
我们的目标
尽管我们作为一个社会已经向前迈进了所有的道路,但世界未来仍然面临着严峻的挑战。我们必须改变我们在影响每个人的主题上的思考和行动方式,例如能源使用、气候变化、机动性以及获得医疗保健和营养的机会。
在ASML,我们相信微芯片行业在帮助应对这些挑战方面处于独特的地位。从人工智能(AI)到巨大的物联网(IoT),微芯片是现代技术的核心。因此,无论是过渡到可持续能源、改善全球健康、提高交通安全和效率、解决污染、缩小数字鸿沟,还是在不耗尽地球资源的情况下养活80亿人,我们的愿景是,我们将启用突破性技术,帮助解决人类面临的一些最严峻的挑战。
作为为芯片制造制造重要系统的创新领导者,我们为能在半导体行业的创新生态系统中扮演技术推动者的角色而感到自豪。我们只有继续挑战现状,利用我们全球生态系统的集体知识,创造一个人们能够做出贡献、学习和成长的环境,才能做到这一点。在ASML,我们相信我们的目标是通过将技术推向新的极限来释放人和社会的潜力。
半导体行业的长期增长基于这样一个原则,即电子计算所需的能量、成本和时间可以通过缩小微芯片上的晶体管来减少。收缩的主要驱动因素之一是光刻系统能够达到的分辨率,这主要由所使用的光的波长和光学元件的数值孔径决定。较短的波长--就像用于绘画的更精细的画笔--可以打印出更小的特征。较大的数值孔径可以使光线聚焦得更紧密,这也会带来更好的分辨率。要实现收缩,我们所做的--光刻--是关键。
我们专注于为全球所有主要芯片制造商提供整体光刻解决方案。我们的使命是与我们的合作伙伴一起,提供领先的图形解决方案,推动微芯片的进步。通过我们对研发的持续投资和奉献,我们寻求至少与我们的客户同步创新。我们通过并行而不是顺序的设计,尽快将我们的创新交到芯片制造商手中,同时确保它们的质量、可靠性、可制造性和适用性。
我们的核心价值观
为了帮助解决人类最严峻的挑战,同时解决我们自己的挑战,我们必须继续放大ASML创造了我们成功的核心价值观-挑战、合作和关怀。
我们挑战
我们挑战边界,质疑现状,捍卫我们所信仰的理念。我们乐于讨论和辩论,因为这往往是压力测试和支持一个想法的内在原因。这是使我们能够推动技术向前发展的东西,
事情要简单,做事要细心和专心。我们继续挑战自己,为客户增加价值,确保我们在安全、质量、效率和成本等关键方面不断改进。
我们合作
作为一名系统架构师和系统集成商,我们通力合作,挖掘我们的集体潜力。我们与我们生态系统中的合作伙伴一起,扩展我们的知识和技能,相互学习,分享方法,以提供最佳结果。我们所做的是独一无二的,我们需要彼此才能使之成为可能。随着我们的不断发展和我们的合作伙伴生态系统的扩大,这种协作思维对于成功变得更加重要。
我们关心
随着我们进一步推动技术的发展,我们必须谨慎行事。作为行业领导者,我们意识到我们的影响从人、到社会、到地球。我们不仅关心与我们合作的人,而且关心我们的客户、供应商、我们生活的世界以及我们开展业务的社区。我们相信诚信和对人民及其人权的尊重。我们个人有责任创造一个安全、包容和信任的环境,鼓励和支持来自各种背景的人发言、贡献、学习、犯错和成长。我们还努力明确我们如何组织自己以实现我们的目标,确保我们有一个明确的框架来做什么和如何做。
我们相信,这些价值观将帮助我们的公司和我们的员工做出明智的决定,这将使所有利益相关者受益。我们的宗旨和价值观,加上我们作为行业领导者所肩负的重大责任,使我们对未来持乐观态度。
我们从哪里来
我们公司于1984年在埃因霍温成立,名称为ASM光刻,是飞利浦和ASM国际的合资企业。当他们搬进位于埃因霍温Strijp-T的飞利浦工厂附近的新办公室时,我们的第一批员工永远不会想到,在短短30年内,ASML将成为全球创新领先者。
通过坚持不懈地专注于创新,在艰难时期完全以客户为中心,以及愿意依赖他人取得更好的结果,我们已经从卑微的开端成长为一支全球力量。
尽管我们一直在展望未来,但对我们来说,我们从哪里来和我们的进化一样重要。在过去的37年里,这些开拓性的行为一直是我们成功的关键,随着我们继续定义我们的目标并阐明支撑我们所做一切的价值观,它们对我们变得更加重要。了解是什么让我们在过去取得了成功,这将帮助我们在未来保持成功。
是什么指引着我们
创新很少是一条直线。我们一直知道,这需要激光般的专注、多学科的团队合作和敏锐的眼光,才能最好地帮助我们的客户。即使到了那时,我们也不得不表现出坚韧。我们花了十年的坚韧不拔才使我们的技术起步。我们都无条件地关心这家公司,并自豪地致力于它的成功。我们当时和现在一样相信,即使是最大的挑战也可以通过坚持不懈来克服,如果有必要的话,经过多年的努力,成千上万的人可以克服。
我们 也学会了依靠他人来获得更好的结果--而不是分散注意力。这意味着通过建立一个由专家供应商、战略合作伙伴、学术界和服务提供商组成的生态系统来扩展我们自己的知识和技能。我们还收购了拥有独特技术的领先公司,这些公司增强了我们向客户提供更好解决方案的能力。我们开始将自己视为架构师和集成商,激励我们的合作伙伴在分享风险和回报的同时,在工程的尖端进行创新。和我们一样,我们最早的一些客户现在是芯片行业的领导者。
我们 旨在为我们的客户和其他利益相关者提供长期价值。我们的直接价值链由我们的研发伙伴、供应链和客户以及我们自己的制造和服务活动组成。我们共同使产品和服务制造商,即所谓的原始设备制造商(OEM)和原始设计制造商(ODM)能够为消费市场创造最终使用的设备和服务。
我们在半导体行业的地位
光刻技术的作用
光刻技术是制造更强大、更快、更便宜芯片的推动力。当今最先进的处理器,基于Logic N5节点,包含数十亿个晶体管。进一步缩小晶体管变得越来越困难,但我们并没有像一些人想象的那样接近物理学的基本极限。下一代芯片设计将包括更先进的材料、新的封装技术和更复杂的3D设计,这将创造未来的电子产品。
随着半导体特征尺寸的缩小,芯片的制造变得越来越复杂,而以合适的成本大规模生产仍然是当务之急。我们的整体光刻产品组合通过将光刻系统与计算建模以及计量和检测解决方案集成在一起,帮助优化生产并实现经济实惠的收缩。我们的计算模型使我们的客户能够优化他们的掩模设计和流片时间(将最终设计发送给制造商生产的时间)。这项工作通过掩模校正软件来准备和修改设计,以优化曝光,而计量和检测解决方案有助于实时分析和控制制造过程。
光刻系统本质上是一种投影系统。光通过要打印的图案的蓝图投射(称为掩模或掩模版)。随着图案编码在光中,系统的光学元件收缩并将图案聚焦到光敏硅片上。打印完图案后,系统稍微移动晶片,并在晶片上复制另一份。
重复这个过程,直到晶片被图案覆盖,完成晶片芯片的一层。为了制造整个微芯片,这个过程要一层又一层重复,堆叠图案以创建集成电路(IC)。最简单的芯片大约有40层,而最复杂的芯片可以有150层以上。待打印特征的尺寸根据层而异,这意味着不同类型的平板印刷系统用于不同的层-我们的最新一代极紫外系统用于特征最小的最关键层,ArFi、ArF、KrF和i线系统用于特征较大的不太关键层。
仔细观察一家工厂的内部情况
半导体加工厂,俗称“晶圆厂”,是生产微芯片的工厂。微芯片的制造涉及多步骤序列,包括在光致抗蚀剂中产生图案的光刻和化学处理步骤,例如沉积、光致抗蚀剂涂层、离子注入和蚀刻,在此期间在硅晶片上逐渐产生电子电路。
微芯片是由大约50到150纳米厚的层组成的,这些层一次一层地建立在半导体衬底上。一些微芯片可以有多达150层或更多的不同复杂程度的层。通常,最复杂的层在底部,最不复杂的层在顶部。最先进的芯片需要EUV和DUV浸没式光刻工具来制造它们。更简单的微芯片,如物联网应用的传感器,可以使用DUV干燥机生产。
在沉积过程中添加新层的材料后,所需的图案暴露在其上,显影后留下精确定位在所需位置的线条和几何形状。然后蚀刻该层,使这些设计永久在晶片上。微芯片的整个制造过程—从开始到测试和封装器件,准备发货—可能需要18到26周,具体取决于芯片的复杂性。
工厂的核心是洁净室。所有的制造步骤都在这里进行,因此环境受到控制,以消除纳米级的粉尘。在洁净室地板下是所谓的子工厂,其中包含驱动激光器等辅助设备。公用事业工厂-真空和冷却的抽气和降温系统所在的地方-通常位于这一楼层的下面一层。
半导体制造工艺
驱动摩尔定律的瑞利判据
摩尔定律是半个多世纪前做出的预测,它为我们的行业设定了速度。戈登·摩尔预测,计算能力将以指数级速度急剧增加,而相对成本将以指数级速度下降。换句话说,在相同的成本下,集成电路上的晶体管(微小的电子开关)的数量将每两到三年翻一番。这为制造更快、更强大的微芯片提供了两种选择:以一半的成本在芯片上使用相同数量的晶体管,或者以相同的成本将晶体管数量增加一倍。即使在今天,这一预测的力量仍然是半导体行业的基本原则,也是造福我们日常生活的创新的驱动力。
在ASML,我们的工作是帮助该行业延续摩尔定律。我们的目标一直是减少临界尺寸(CD)--光刻系统可以打印的最小结构。这是由瑞利标准定义的,我们所有的创新都基于这个方程式:
•CD是关键尺寸,用来衡量光刻系统可以打印的最小结构有多小。
•λ (波长)是所使用的光源的波长,波长越小,可以打印的结构越小。我们的深紫外光(DUV)光刻系统被称为行业主力,深入研究UV光谱,打印构成微芯片基础的微小特征。多年来,ASML实现了几个波长阶跃,我们的DUV光刻系统的范围从365 nm(i-line)、248 nm(Krf)到193 nm(Arf)。借助极端紫外线(EUV)系统,我们在大批量制造中提供最高分辨率的光刻,因为这些系统在波长上迈出了重要的一步。利用极紫外TiN等离子体,我们产生波长仅为13.5纳米的极紫外光。
•NA是数字光圈,表示光的入射角--使用较大的NA透镜/反射镜,可以打印出较小的结构。除了更大的透镜,ASML还通过在最后一个透镜元件和晶片之间保持一层薄薄的水,利用水的破裂指数来增加NA(所谓的浸没系统),从而增加了我们ARF系统的NA。在波长升级到EUV之后,ASML正在开发下一代EUV系统,称为EUV 0.55NA(High-NA),我们将把数值孔径从0.33提高到0.55。
•k1是与光学和工艺优化有关的一个因素。连同我们的计算光刻和图案化控制软件解决方案,我们为客户提供控制回路,以优化他们的掩模设计和照明条件。
ASML的目标一直是降低关键维度。通过减小波长和增加数值孔径,我们的系统可以打印出特征尺寸越来越小的IC结构。如果我们的客户可以打印更小的结构,芯片可以更小,每个晶体管的成本变得更低,这反过来又使我们的客户更有利可图。
推广摩尔定律正变得越来越复杂和昂贵。永远需要的是一种以合适的成本大规模生产IC设计的方法。这就是ASML的全部产品组合将继续发挥重要作用的地方,以确保负担得起的晶体管缩小。我们将继续推动我们的整个系统产品组合达到新的工作效率水平和成像性能。我们相信,我们的EUV 0.33和0.55 nA光刻技术将有助于实现未来最先进的芯片。在我们的计算光刻解决方案中,我们将机器学习和大数据带入预测光刻和计量工艺的前沿,努力实现100%的准确率。我们开发了一种全新的电子束检测系统,以帮助我们的客户控制下一代芯片节点制造中的不良率,因为这些较小的结构很难用光学检测来检测。
CTO的消息
马丁·范登布林克(首席技术官兼管理委员会副主席总裁)
尊敬的利益相关者:
这个问题我已经问过很多次了,但我可以向你保证:摩尔定律仍然有效。我们相信它将在相当长的一段时间内与我们在一起。
在过去的40年里,我们逐渐从PC和移动设备时代演变到云时代,现在我们生活的几乎每一个方面都是在线存储和管理的。我们数字未来的下一步将是分布式智能,由通信、计算和人工智能(AI)的无缝集成驱动。所有这些趋势都需要更高的计算能力,这反过来又加速了对更强大、更节能的微芯片的需求。
与我们的客户一起,我们共同承诺提高微芯片的能效性能。我们共同展望未来20年,通过系统扩展,包括不断提高我们光刻系统的分辨率,以及通过微芯片器件、材料和晶体管创新,每两年将能效提高三倍。摩尔定律已经演变,它不仅仅是关于印刷最小的线条。
系统扩展正在推动创新
在过去的15年里,半导体行业创新的主要驱动力已经从纯粹的光刻实现的收缩(尺寸缩放)扩展到微芯片系统的缩放。这是通过新的晶体管结构和相关材料(设备级缩放)、优化的电路设计(电路缩放)和创新的微芯片架构(如3D结构(架构缩放))以及缩小微芯片器件面积来实现的。
先进的整体光刻技术
ASML仍然专注于通过收缩实现系统扩展。我们正在将我们完整的产品组合集成到整体光刻解决方案中,以优化和控制光刻过程。我们通过优化光刻参数、覆盖层、关键尺寸(CD)和光学邻近校正(OPC)、减少边缘放置误差(EPE)以及提高缺陷检测能力来做到这一点。
我们独一无二地能够帮助我们的客户发现、测量和纠正花纹变化。我们的主要关注点是改善EPE(微芯片布局的预期和印刷特征边缘之间的差异),这是提高成品率的关键之一。这是因为我们客户的光刻系统不仅测量通过晶圆厂的每一个晶片,而且还曝光每个晶片上的每一个区域和单独的芯片。这使我们的客户能够以最佳方式设置他们在我们的光刻系统上的所有控制旋钮的致动值。
我们如何实现这一目标?我们使用扫描仪计量、光学计量、电子束计量和检测,将工艺流程中每个相关步骤的数据整合在一起。通过分析单个框架中的所有数据,我们的应用程序可以向光刻系统提供反馈循环,以进行所需的校正,从而为我们的客户提供真正的价值。
DUV创新继续
我们的深紫外线(DUV)产品是行业主干,支持所有半导体市场。我们在所有波长上都不断创新。我们的浸入式和干式系统在生产率、成像和覆盖性能方面处于行业领先地位,可用于最先进的逻辑和存储芯片的大批量制造。
我们继续系统地开发我们的产品组合,以优化我们客户的安装基础,同时更加关注生产率和性能升级以及其他服务,以支持我们客户的晶圆需求。
使用EUV进行经济高效的扩展
我们的极端紫外线(EUV)产品路线图将帮助我们推动负担得起的规模扩展到下一个十年。我们的EUV 0.33 NA平台扩展了我们客户的逻辑和DRAM路线图。
与非EUV制造相比,使用EUV制造芯片有助于减少关键光刻掩模数量(-40%)和工艺步骤(-30%)。这为我们的客户显著减少了缺陷、成本和周期时间。我们预计EUV的采用将继续增长,预计到2024年,所有先进的节点芯片制造商都将在生产中使用EUV。
借助我们的下一代EUV 0.55 NA平台,我们将继续为未来节点实现经济高效的扩展。具有更大数值孔径的新型光学设计将实现60%的小特征,并将微芯片密度提高近3倍。我们的第一个早期接入系统预计将于2023年推出,我们预计我们的客户将在2024-2025年开始研发。大规模制造预计将于2025-2026年开始。
客户至上
在我们所做的每一件事中,与客户建立值得信赖的关系是关键。因此,我们全面的产品组合与客户的路线图保持一致,以提供经济高效的解决方案来支持他们的所有应用,从高级节点到成熟节点。我们意识到,我们的DUV和EUV平台的通用性可以实现更快、更具成本效益的创新、生产和维护。这就是为什么我们越来越专注于在我们的投资组合中使用通用技术。
我们正在对我们产品的能效进行投资,以帮助减少生产晶圆所需的能源。此外,我们有一个强有力的减少浪费的路线图。我们致力于在我们的价值链中尽可能地重复使用部件、工具和包装。我们正在与我们的客户和供应商合作,重新制造用过的系统部件,将它们重新用作新部件,以防止不必要的浪费。
我坚信,我们为未来10年制定了坚实的路线图,这将推动摩尔定律的延续。在缩减的支持下,所有级别的持续系统扩展-在设备、电路、维度和架构级别-将需要在我们的整个产品组合中进行重大创新。这将是提高微芯片的电路密度和能效,同时降低其成本的关键,在未来许多年。
马丁·范登·布林克
首席技术官
我们如何创新
一个微小的微芯片,一个全球生态系统
几乎在每一天的每一个时刻,我们都在使用包含微芯片的技术:小型但强大的设备。微芯片是一种独特的产品--即使是最简单的芯片上的层也需要一个复杂的过程,世界上很少有公司掌握这一过程。
在这个从开始到成品可能需要几个月的过程中,硅片在芯片制造商的制造厂(半导体加工厂)经过数十台不同的机器,才能进入电子产品。
几十年来,这种多方面的生产过程使半导体行业成为一个全球生态系统。这个生态系统包括专门从事芯片设计的公司、设备和基础设施供应商,以及芯片制造商本身。
作为光刻设备的重要制造商,ASML是这一生态链的重要组成部分。芯片制造过程中的一个关键步骤是在硅片上制造电路图案,这是通过我们的光刻系统实现的,世界上每一家主要芯片制造商的工厂都可以找到这种系统。
但我们的系统只是涉及众多供应商和芯片制造设备的过程的一部分。过程中的每一步和每一台机器都很重要。这就是为什么合作和创新是关键。从帮助我们理解和歪曲物理定律的学者,到发现新可能性的客户和将我们的想法转化为产品和技术的供应商-我们合作取得成功。这个巨大的协作网络,我们称之为半导体行业,处于我们数字未来的前沿。
我们的生态系统合作伙伴的例子
产品开发
半导体行业的产品开发是通过所谓的“路线图”来管理的,这本质上是规划产品开发。当一个想法成为一个更具体的定义时,它就会转化为一个路线图,给出未来几年产品开发应该如何进行的指导方针。通过结合我们客户的路线图和技术可行性,我们设计了一个产品路线图,其中概述了我们可以生产并满足客户需求的新型机器的规格和功能。
ASML的产品开发面临着多重复杂性。我们的一些产品包括700多家供应商提供的30多万个部件,以及需要集成的50种独特功能,以创建一个功能齐全的系统。我们需要80多个专业学科来支持成功的产品和流程开发。此外,我们是半导体价值链的一部分,与众多客户、合作伙伴和供应商密切合作。
ASML的成功取决于及时交付创新和复杂的产品。这带来了不确定性和风险,在整个产品开发过程中做出的决策的积极和消极影响可能是巨大的。把它比作帆船比赛:目标是明确的,但路线不是。有许多变量需要高速管理。每一条信息都是计划和实现目标的关键。
十多年来,我们一直应用我们量身定做的模块化创新和产品开发流程,我们称之为产品生成流程(PGP)。PGP描述了我们在ASML开发产品的方式,我们如何将这些产品推向市场,以及最终我们如何逐步淘汰它们。PGP是一个基于决策的过程。有15个顺序的关键决策
确定产品开发的主流。这意味着PGP使人们能够决定是否应该继续产品的开发。
我们产品的模块化设计使我们能够独立于项目制定技术挑战的解决方案。这种独立的工作使我们能够始终如一地改进我们的解决方案,并通过重用系统设计和架构来提高开发效率。
我们的生态系统合作伙伴
我们通过合作伙伴关系进行创新。我们的创新理念是,我们将自己视为架构师和集成商,在创新生态系统中与合作伙伴合作。我们与客户密切合作开发我们的技术,以确保我们今天建造他们明天需要的东西。我们的机器是根据他们的投入开发的,我们与他们密切合作,帮助实现技术和成本路线图。更多内容请阅读:客户亲密感。
同样,我们与供应商密切合作,信任他们为我们的系统制造零部件和模块。他们中的许多人都深度参与了新技术的开发和实现我们寻求的创新。与这些所谓的“农场供应商”中的一些人合作,我们是共同投资者。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。
我们与卡尔·蔡司SMT Holding GmbH&Co.KG已有30多年的合作关系,我们也持有该公司的权益。这一合作伙伴关系的运作原则是“两家公司,一家企业”共同努力,在创新和技术领域实现卓越的运营。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。
我们在大学和研究机构等广泛的技术合作伙伴网络中共同开发专业知识。我们的一些合作伙伴包括比利时的IMEC,荷兰特温特、代尔夫特和埃因霍温的工业大学,以及同样在荷兰的纳米光刻高级研究中心(ARCNL)。更多内容请阅读:我们在2021年的表现-社会创新生态系统。
管理创新
在ASML,每天都有超过11,000名最聪明的研发人员接受这项令人兴奋的挑战,创新世界上最先进的光刻系统。我们通过平衡客户需求、产品能力和技术解决方案来管理这一过程。为了保持领先地位,我们在研发方面投入了大量资金。2021年,我们在研发上的支出为25亿欧元,而2020年为22亿欧元。
我们研究部门的重点是产生和探索想法,并从长远来看证明它们的可行性。该部门还帮助寻找技术解决方案,以应对我们产品和应用中已进入开发阶段的挑战。
我们的研究人员不断寻找半导体行业内外的技术创新和解决方案,以评估它们是否可以应用于ASML的技术路线图,以支持我们的客户推动半导体器件路线图。我们鼓励我们的专家在更广阔的技术空间建立广泛的网络。
源源不断的新想法对于填补我们通过所谓的“创新漏斗”流动的技术管道至关重要。在这里,我们选择有潜力推动我们的产品和客户应用的新想法。在我们研究部成功通过概念验证阶段的想法将被转移到开发和工程(D&E)部门。D&E将它们带入我们的产品生成过程(PGP)进行产品开发。然后,我们在必要的环境中构建和测试系统原型。通过这些测试的原型可能最终会导致新产品的发布。
创新漏斗
我们的研发工程师通过创建新的组件或子系统,将它们集成到功能系统中,或开发新的应用程序来推动行业向前发展,从而推动我们的机器向前发展。
在D&E,我们致力于大量先进的光学和机电一体化模块,以及应用软件、数据科学 和操作系统。D&E的创新非常注重上市时间,通常在上一代产品到达客户手中之前就开始开发新系统。研发团队与领先的研究机构有广泛的联系,了解各自领域的最新发展。
创新成果
每天,我们的团队都承担着构建和推动创新的激动人心的挑战,以保持世界上最先进的光刻系统。为此,我们应用并行工程,通常在上一代系统到达客户之前就开始新的系统开发。同时,我们不断寻求提高我们的产品能力,同时保证我们产品的可靠性、可制造性和适用性。
2021年,我们的研究和研发团队取得了巨大成就。下面提供了几个示例。
Berthold Leibinger Stiftung的2021年创新奖
贝托尔德·莱宾格创新奖是一项每两年颁发一次的享有盛誉的荣誉,是一项国际奖项,旨在表彰在激光应用或产生方面的研究和开发工作中的卓越表现。
EUV技术现在是制造现代电脑和智能手机芯片的核心技术。来自荷兰和美国ASML的Daniel·布朗、Alexander Schafgans和陶业正等ASML科学家团队获得了Berthold Leibinger Stiftung的2021年创新奖,以表彰他们在用于极紫外光刻扫描仪的激光产生等离子体源方面的突破,使其能够实现大批量制造。
该奖项是为了表彰使用CO在EUV光源功率调节方面取得的前所未有的进步和研究2激光架构。该团队在激光产生的等离子体物理领域的工作有助于提高EUV光源功率的稳定性和健壮性,消除性能限制,并在大批量制造中实现更大的规模。这一重大贡献得到了全球科学界和工业界专家评审团的认可。
欲了解更多信息,请访问www.asml.com。
模块化晶片夹具
我们不会对挑战说‘不’。我们的全球研究和开发团队面临着创造一种新的晶片夹具设计的挑战,这种设计可以更快地制造,同时满足更严格的规格。经过两年的研究、设计和工程,我们的团队推出了第一个全尺寸的模块化晶片夹具原型,准备在EUV扫描仪上进行鉴定。这一成就是跨洲挑战和合作的真实证明。
晶片台面涂层
与扫描仪中的任何其他模块不同,晶片台是曝光过程中唯一与晶片直接接触的扫描仪部件。因此,对平整度和表面稳定性的要求是严格的。数以千计不同形状和工艺的晶圆
当它在高加速力下移动时,其特征每天都被夹紧,导致不必要的漂移,并留下影响覆盖性能的夹紧指纹。
我们的团队寻求解决这些影响晶圆台性能的根本问题,并找到了一种更有效的涂层解决方案,该解决方案既能确保稳定性,又能显著提高寿命。
水冷极紫外反射镜
EUV系统使用几个反射镜而不是透镜来将EUV光引导到晶片上,将掩模图案缩小到原来的1/4。当极紫外光通过机器时,部分光被吸收到每个反射镜中。这就产生了所谓的镜面加热,它会影响成像和叠加性能。
我们的研究人员和工程师研究了镜面热调节的新方法。模拟和建模结果表明,水冷反射镜具有较好的模拟效果。带有水通道的粘合基板的测试正在进行中,结果令人鼓舞。
客户亲密度
作为世界领先的芯片制造设备制造商之一,我们使我们的客户能够创建定义芯片上电子电路的图案。我们的客户是世界领先的微芯片制造商,我们的成功与他们的联系密不可分。
我们与客户协作,了解我们的技术如何最好地满足他们的需求和挑战。出于这个原因,我们在各个层面与我们的客户接触:建立合作伙伴关系、共享知识和风险,以及调整我们对创新的投资。我们根据他们的意见开发我们的解决方案,致力于帮助他们实现他们的技术和成本路线图,并共同努力,通常是在同一个团队中,以确保我们的解决方案匹配。
尽管存在持续的旅行限制和强制隔离和劳动力限制,但由于我们在整个公司和我们的业务合作伙伴之间的协作努力,我们能够与客户保持高水平的接触,并防止对他们的业务需求造成任何重大影响。世界各地的客户已经认可了我们在大流行期间的额外支持努力和干预措施。我们获得了几个客户奖,以表彰我们对客户需求的快速反应和良好的整体客户服务。
2021年,在分布式计算、传感器技术、5G、AI和数字化等市场基本面的推动下,芯片需求大幅增加,疫情加速。这也意味着我们的客户增加产能的需求达到了创纪录的水平。快速增加系统发货量在我们的业务中具有挑战性,需要与供应商进行无缝协调,这些供应商也面临着自己的供应限制。虽然我们在2021年仍设法生产了显著更多的系统,但我们继续与客户密切合作,通过优化客户群生产力来经受住供应和交付挑战。
实现客户亲密性
对我们来说,客户亲密度是指从创新的早期阶段开始,所有渠道的整个客户关系。我们的目标是培养忠诚度、倡导和持续参与,目标是实现客户的完全满意。
我们的目标是利用我们的创新带来更复杂的解决方案和与客户的互动。随着客户需求变得更加复杂,我们需要更长的时间来协调,因此我们需要更早开始。透明度是这一过程的关键,我们的客户亲密度战略支持这一点。
与我们的客户建立真正的合作伙伴关系,分担我们所做的风险和回报,这是至关重要的。信任和共同愿景是这一点的核心。
贴近我们的客户
为了支持和维持我们与客户的伙伴关系,我们建立了跨组织内各种渠道的客户互动结构,例如,包括客户协调会议。在这里,我们的管理委员会成员、高级经理和客户代表齐聚一堂,确保我们的产品开发计划符合客户的业务目标和需求。
我们定期与我们的主要客户举行客户协调会议。这些会议包括高级管理团队成员和管理委员会成员与客户讨论业务和战略的执行审查会议;技术审查会议,我们的高级技术专家和首席技术官与客户讨论技术路线图和要求;以及运营审查会议,我们审查与客户运营活动相关的主题。
我们有一个专门的销售和客户管理部门,负责建立和维护我们的客户关系,并确保所有相关的ASML部门为满足他们的需求做出贡献。我们直接向客户营销和销售我们的产品,不需要代理机构或其他中间商。我们的客户经理、现场和应用工程师以及服务和技术支持专家都离我们在亚洲、美国和欧洲的客户所在地很近。
另一个重点领域是培训--提高当地客户服务团队的能力,以及加强当地的技术专长。旅行限制等措施突显出,在我们运营的地区,对训练有素的工程师的需求是多么重要。在远程控制能力的帮助下,我们能够提高当地现场工程师的自给自足能力。
衡量我们的方法
我们的客户之声计划有助于确保我们的员工直接听到客户的需求和挑战。对于无法直接接触客户的员工来说,这一点尤为重要。为了接触到尽可能多的客户,该计划利用了不同的沟通渠道:与高级客户代表的现场演示和问答、录制的客户访谈、在线文章以及与客户代表的个人接触。
2021年,与COVID-19相关的旅行限制和其他缓解措施继续在很大程度上限制了我们的面对面互动。我们的客户团队迅速适应,引入了替代解决方案,例如更多本地客户之声计划和远程客户访谈。当地客户和支持团队拜访了我们的客户,通过视频采访了他们,然后与ASML的团队分享反馈。除了面向大量观众的现场演示外,我们全年都能够遵守定期的互动时间表。
另一个有价值的客户反馈工具是我们每两年一次的客户反馈调查,它要求我们的客户对我们的表现进行评级。我们也利用这个机会收集开放的反馈意见。直接评级和坦率的评论为客户的成功和挑战提供了宝贵的洞察力。我们仔细分析每个客户的结果,与客户核对我们获得的洞察力,然后与他们一起制定有针对性的持续改进计划,并考虑到他们的优先事项。这一过程的关键要素是:真正了解客户对我们的需求,确认我们的改进是正确的,并定期向客户通报进展情况。2021年,我们继续部署2020年调查结果确定的改善行动。下一次调查将于2022年9月发出。
我们还为自己设定了一个目标,即在大型半导体设备供应商中跻身VLSI前三名。VLSI研究年度客户满意度调查基于三个关键因素对整个半导体行业的供应商表现进行基准:供应商表现、客户服务和产品表现。我们在2021年VLSI研究客户满意度排行榜上升至第二位,该排行榜被评为十大最佳芯片制造设备供应商。我们一直保持着在“大型芯片制造设备供应商”和前三大单项中的地位:“最佳芯片制造设备供应商”、“晶圆到基础芯片制造商”和“晶圆制造设备到专用芯片制造商”。
根据我们的业务战略,我们在2021年继续努力确保我们的完整产品组合,这将使我们的公司持续到未来。这包括与我们的客户合作,在大批量制造环境中更多地采用EUV,与我们的客户接触,引入EUV 0.55 NA平台,确保我们的产品在成熟市场的安全,并优化我们客户的安装基础.
我们的产品组合与行业趋势和客户详细的产品路线图保持一致,这需要光刻解决方案。我们的客户正在通过投资我们的最新技术来显示他们对我们的信任,支持行业驱动力在当前十年之后收缩。
我们的产品和服务
半导体行业是由负担得起的规模(以合适的价格制造更小、更节能的晶体管的能力)推动的。我们的整体光刻产品组合致力于实现远超当前十年的光刻收缩,使我们的客户能够在每片硅片上产生最大价值。
从最先进的13.5 nm EUV波长到193 nm、248 nm和365 nm的业界主流DUV波长,我们的整体产品组合为每一种可能的波长提供图案化解决方案。这一全面的产品组合支持整个半导体行业的客户,从大规模生产先进的逻辑和存储芯片,到创造新的“比摩尔更多”的应用或具有成本效益的成熟芯片技术制造。
为了确保集成电路上的每个独立图案都能完美连接,我们通过我们的计量和检测系统以及计算光刻解决方案提供先进的工艺控制解决方案。此外,我们还为不断增长的客户群提供一流的客户支持。我们高度差异化的解决方案为我们的客户和ASML提供独特的价值驱动因素,共同努力使经济实惠的收缩持续到下一个十年。
极紫外(EUV)光刻系统
二十多年前,我们开始开发EUV技术。自开始以来,我们在研发上投入了数十亿美元,收购了Cmer以加速EUV源技术,并帮助解决了几个技术挑战,使我们的客户能够实现大规模制造所需的EUV基础设施。我们通过与客户和供应商密切合作进行创新而取得成功。这部分解释了为什么ASML是世界上唯一的EUV光刻系统制造商。自推出以来,我们安装的EUV到2021年底生产了超过5900万个晶圆,而到2020年底生产了2600万个晶圆。
EUV 0.33 NA
我们的EUV平台通过提供分辨率改进、最先进的覆盖性能和逐年降低的成本,扩展了我们客户的逻辑和内存路线图。极紫外光刻使用的光波长仅为13.5纳米,数值孔径为0.33。这与先进芯片制造中使用的下一种最先进的光刻解决方案--采用193 nm光的深紫外线(DUV)氟化氩(ARF)光刻相比,波长缩短了近15倍。这使我们的客户可以在一次曝光中使用EUV,而不是通过ARF浸泡来复杂的多个图案化策略,并允许他们进一步缩小微芯片结构。我们的EUV产品路线图旨在推动经济实惠的扩展到2030年及以后。
TWINSCAN NXE:3600D是我们最新一代的EUV 0.33 nA光刻系统。它结合了最高的分辨率,与其前身TWINSCAN NXE:3400C相比,生产率提高了15%-20%,覆盖性能提高了约30%,同时还提高了系统可用性。
TWINSCAN NXE:3600D
EUV 0.55 NA(高-NA)
经过五年的设计,我们已经开始建造下一代EUV光刻系统,与我们目前EUV平台的0.33 NA相比,该系统将进一步提高分辨率,具有更高的数值孔径(NA)0.55。为了降低技术引进风险和研发成本,EUV 0.55 NA(High-NA)平台最大限度地提高了与EUV 0.33 NA平台的通用性。
我们的UVA 0.55 NA系统(称为Exec:5000)的功能通过简化未来节点的平板印刷、提高逻辑和动态存储器的产量并降低缺陷密度,为我们的客户带来了可观的好处。凭借更大的光学器件,它可以打印更小的特征和更高的密度,从而显着降低客户的图案成本。与0.33 NA相比,UVA 0.55 NA帮助我们的客户扩展其收缩路线图并最大限度地减少双重或三重图案,从而降低图案复杂性、降低缺陷风险和缩短周期时间。
我们相信,这项技术将在未来十年内实现经济实惠的几何缩放,因为UVA 0.55 NA提供更高的分辨率,与UVA 0.33 NA相比,功能缩小了1.7倍,密度增加了2.9倍。EMEA 0.55 NA预计将于2025-2026年在我们的客户中进入大批量生产。
深紫外(DUV)光刻系统
DUV光刻系统是该行业的主力。DUV系统支持众多细分市场,目前生产客户设备中的大部分层,并将对未来的设备保持重要地位。我们为半导体行业目前使用的所有DUV波长提供浸入式和干式光刻解决方案-使用365 nm波长的I-line、使用248 nm的KrF和使用193 nm的ARF。这些系统有助于制造广泛的半导体节点和技术,并支持行业的低成本和高能效扩展。
我们的DUV浸没和干燥系统在生产率、成像和覆盖性能方面处于行业领先地位,可与EUV相结合,大批量制造最先进的逻辑和存储芯片,同时继续为成熟节点和小批量应用提供价值。
浸没系统
ArF浸没式光刻在透镜和晶片之间保持了一层薄薄的水,增加了NA并提高了分辨率以支持进一步收缩。我们的浸渍系统适用于单次曝光和多重图案化光刻,并可与EUV系统无缝结合使用,以打印同一芯片的不同层。
TWINSCAN NXT:2050i是我们目前最先进的浸入式系统,正用于5 nm Logic和第四代10 nm DRAM节点的大批量制造。NXT:2050i基于新版本的NXT平台,其中包括在掩模版平台、晶片平台、投影透镜和曝光激光方面的新发展。多亏了这些创新,该系统提供了比其前身更好的覆盖控制和更高的生产率。
TWINSCAN NXT:2050i
干法系统
芯片上的每一层都不一定需要最新和最好的浸入式光刻系统来生产它们。可能会有更复杂的层是使用更先进的光刻系统制作的,但其余的层通常可以使用干式光刻系统等较老的技术进行印刷。我们的干式系统产品组合为我们的客户提供了所有类型波长的更具成本效益的解决方案。
TWINSCAN NXT:1470是我们最新的干式ARF光刻系统,提供创纪录的每小时300片晶圆生产率和4 nm覆盖能力。它也是第一个干式NXT系统,建立在我们成功的浸入式平台上,并在匹配的机器覆盖、生产率和制造空间方面提供改进。
拥有0.80NA的TWINSCAN XT:860N是我们的新一代KRF系统,支持在110 nm及以下分辨率下大批量生产200 mm和300 mm晶圆。XT:860N配备了新的大范围液位传感器,允许客户测量高拓扑3D NAND晶片,生产率从XT:860M的每小时240片提高到260片。对于更关键的KrF层,0.93NA TWINSCAN XT:1060K是我们最先进的KrF光刻系统,在80 nm及以下的分辨率和覆盖范围内提供同类最佳的分辨率。
Xt:860N
TWINSCAN XT:400L是我们最新的i-line光刻系统,可打印分辨率为220 nm的200 mm和300 mm晶片。
成熟的产品和服务
在EUV之前,在沉没之前,甚至在我们的TWINSCAN系统之前,就有了PAS。1991年,也就是公司成立七年后,我们推出了PAS 5500,这被证明是我们的突破性平台。该系统能够极大地减少我们客户的制造时间,其模块化设计使他们能够使用同一系统生产多代先进芯片。
我们的翻新产品业务,即成熟的产品和服务(MPS),翻新和升级我们的旧光刻系统,以延长其使用寿命并提供相关服务。MPS的客户基础广泛且活跃于各种市场,尤其是在“不止摩尔”领域。
ASML系统具有非常长的运行寿命,这往往超出了它们在最初客户中的作用。因此,许多客户能够通过出售不再需要的系统来创造价值。为了支持这种可持续的产品使用,并确保二手系统提供ASML所代表的质量,ASML通过我们的翻新和相关服务积极参与二手系统市场。ASML销售的PAS系统中有90%以上仍在使用中。
我们提供PAS 5500和第一代AT、XT和NXT系统的翻新系统。通过我们的翻新和相关服务,我们延长了客户安装基础的寿命,从他们的资本中获得价值,并为可持续的产品使用做出贡献。更多内容请阅读:我们在2021年的表现-环境-循环经济-通过翻新回收成熟的产品。
计量和检验系统
我们的计量和检测系统允许芯片制造商测量他们实际打印在晶片上的图案,以确定它们与预期图案的匹配程度。我们的产品组合涵盖了将芯片推向市场的每个阶段,从研发到批量生产,以及制造过程的每个步骤--使他们能够评估整个过程的性能。该系统通过我们的工艺控制解决方案提供创建自动控制回路的速度和精度,优化每次曝光的光刻系统设置,以减少边缘放置误差(EPE),扩大工艺窗口,并在大规模生产中实现最高产量和最佳性能。
光学计量学
我们的YeldStar光学计量解决方案使芯片制造商能够通过快速准确的叠层测量,在批量生产中评估晶圆上图案的质量。叠加层,即芯片的一层与前一层对齐的程度,是光刻性能的重要衡量标准,也是EPE的关键贡献。随着微芯片上的结构越来越小,覆盖层和EPE变得越来越重要。
YEELDSTAR 385H提供最新的抗蚀剂光刻后(蚀刻前)覆盖和聚焦测量,具有更高的吞吐量和精度。与以前的系统相比,主要的增强包括更快的阶段和更快的波长变化。这使得能够使用多个波长进行高度精确的覆盖测量和工具匹配,而不会影响吞吐量。
我们的最新型号是YIELDSTAR 1385H,能够测量蚀刻后器件图案,从而为我们的客户提供更广泛的良率控制能力。与前一型号的YeldStar 1375F相比,YeldStar 1385H具有更高的精确度和约50%的生产率改进能力。YeldStar 1385H是市场上用于快速、准确的器件内覆盖和测量的光学工具,具有一次测量多层的能力,帮助客户通过蚀刻后工艺控制提高产量。
YeldStar 1385H
电子束计量与检验
我们的HMI电子束解决方案允许客户在数十亿个印刷特征中定位和分析单个芯片缺陷,从而扩展了工艺控制的可能性。从历史上看,电子束解决方案太慢,无法监控批量生产过程。然而,ASML在增加电子束系统吞吐量的各种方法方面取得了进展。
凭借被广泛采用的单波束平台,ASML继续扩大在电压对比检测和物理缺陷检测方面的市场领先地位。ESCAN 430是我们最新的单光束检测系统,在逻辑、DRAM和3D NAND等各种应用中提供超过35%的吞吐量提升。
我们的高分辨率电子束测量系统eP5提供世界级的1 nm分辨率和大视场能力,速度是现有技术的10倍以上。它输出大容量的关键尺寸(CD)和边缘放置误差(EPE)数据,并具有客户监控所需的质量级别。随着设计规则的缩小和EUV光刻技术的采用,EPE对器件图案化和成品率变得更加关键。我们还在eP5上发布了EPE计量应用软件产品。它能够在设备上进行局部和全局EPE测量,包括层内和层间。
一年前,我们推出了突破性的多光束检测工具HMI escan 1000,具有3x3图像,但我们的创新并未停止。我们将下一代HMI ESCAN 1100添加到我们的产品组合中。通过5x5的图像,它展示了成功的多光束操作,同时用25束扫描。5x5系统在检测电压对比度缺陷和物理缺陷方面具有更高的灵敏度,同时显著增加了检测吞吐量。在这个阶段,我们的客户正在评估我们的多波束系统。
ESCAN 1100
系统和过程控制
我们的系统和过程控制软件产品支持自动控制回路,以保持光刻工艺的最佳运行。使用强大的算法,他们分析计量和检验数据,并计算可反馈到光刻系统的每一次曝光的必要修正,以最大限度地减少后续晶片批次中的边缘放置误差。通过这种方式,它们能够以最高的产量和性能创造出更先进的微芯片。我们的系统和过程控制路线图旨在利用我们光刻系统的巨大灵活性,并应用更强大的具有高阶校正的算法,以支持我们客户自己的路线图,以提高EPE性能。
计算光刻
我们的计算光刻解决方案用于开发新的芯片,以优化掩模图案和光刻系统的设置,以确保提供高良率的坚固、可制造的设计。计算光刻解决方案的洞察力也越来越多地被用于指导计量和检测,从而提高了生产能力,并在大批量制造中实现了更精确的工艺监控。
这些产品基于对光刻系统和工艺的精确计算机模拟,代表了各种物理和化学效果。机器学习技术也越来越多地被用于进一步加快开发速度。我们正在不断开发我们的计算光刻技术,以增加模型的范围和精度,并减少计算时间和成本。
有关更多产品详情和规格,请访问www.asml.com。
管理我们的客户群系统
ASML系统的安装基础继续增长,许多系统在新的市场和应用程序的新所有者那里找到了第二次甚至第三次生命。为了向所有客户提供尽可能最佳的价值主张,我们提供广泛的客户群管理产品组合,包括广泛的服务和升级选项。
我们开发和销售产品选项和增强功能,旨在提高吞吐量、图案化性能和叠层。通过现场升级包,可以将较旧的系统升级到现场改进的型号。这使客户能够在系统的整个生命周期内优化其拥有成本。
客户支持
我们为客户提供广泛的应用程序、服务和技术支持产品,以维护和提高我们系统的性能。我们有近7,000名客户支持员工,他们致力于确保我们客户的FAB中的系统以最高水平的可预测性和可用性运行。我们提供全天候支持、次日部件交付、简单、集中的客户门户,以及对客户工程师的培训。2021年,我们的客户支持组织提供了近500万小时的客户支持,高于2020年的4,500,000小时。
我们的市场
我们的客户是世界领先的微芯片制造商,我们的成功与他们的联系密不可分。我们根据他们的投入设计我们的机器,致力于帮助他们实现他们的技术和成本路线图,并共同努力确保我们的机器在他们的工厂顺利运行。
我们的客户可以分为内存芯片制造商和逻辑芯片制造商。
内存芯片可以在非常小的区域内存储大量数据。它们被用于越来越多的电子产品,如服务器、数据中心、智能手机、高性能计算、汽车或个人计算机以及其他通信设备。存储器主要有两类:NAND和DRAM。
有了NAND芯片,即使在设备断电的情况下,数据也可以存储。DRAM存储器用于有效地向处理器提供数据。这些DRAM和NAND芯片通常是在专用存储芯片工厂生产的。
在电子设备中处理信息的逻辑芯片由两组制造商生产。第一类被称为集成设备制造商(IDM),设计和制造逻辑芯片。第二类是代工制造商,被称为代工厂。代工制造商为“无工厂”公司生产芯片,这些公司只专注于芯片设计和分销,而不是自己制造微芯片。
逻辑芯片和内存芯片在复杂性和容量上都可能有很大差异。例如,最先进的芯片正在为人工智能(AI)、大数据和汽车技术的前沿技术提供动力,而更简单、低成本的芯片正在将传感功能整合到日常技术中,以创造一个巨大的物联网。
芯片市场(全球半导体收入)在过去20年中平均每年增长5%,预计将进一步增长。推动这种增长的因素已经发生了根本性的变化。在20世纪90年代,个人电脑(PC),包括台式机和后来的笔记本电脑,推动了芯片需求。在本世纪头十年,市场驱动力从个人电脑演变到智能手机。这些反过来又产生了新的市场驱动力、数据中心和(EDGE)云解决方案,在这些解决方案中,来自PC和智能手机的数据通过广泛使用专门的逻辑芯片与DRAM、NAND和HDD存储相结合来路由、处理和存储。
半导体行业趋势和机遇
技术发展日新月异,计算的下一阶段即将到来。移动计算时代--你可以随身携带电脑--正在向沉浸式“无处不在的计算”发展,无论你走到哪里,计算能力都可以随时随地使用。
向无处不在计算的过渡是由所谓的人工智能物联网(AIoT)实现的。AIoT是一个智能的互联设备网络,通过强大的5G网络无缝通信,让我们比以往任何时候都更好、更快地释放数据的力量。人工智能(AI)技术与物联网(IoT)基础设施的这种结合将实现更高效的物联网运营,改善人机交互,并增强数据管理和分析。在5G的推动下,随着AI和IoT日益交织在一起,AIoT的潜力将逐渐打开。人们可以访问的海量数据以及由此提供的洞察力,将推动半导体业务的增长和数字转型。
目前大约有400亿台联网设备在使用中,而且每秒钟都在增加更多的设备。预计到2030年,这一数字将增加到3500亿台。根据外部研究,到2025年,互联的物联网设备预计每年将创造高达175 ZB(泽字节)的数据。换句话说,一个ZB字节(1021 字节)相当于1万亿GB,以当前的平均互联网连接速度下载175ZB的数据需要一个人18亿年的时间。随着我们走向“边缘”计算,这些大数据将需要成为快速数据,以支持无处不在的计算。在“边缘”计算中,处理过程将尽可能靠近数据源,而不是在云中。
半导体使能的计算趋势
摩尔定律是半导体行业的指导原则,是推动行业从移动计算向无所不在计算过渡的马达。这一转变继续扩大,促进了计算的三大趋势:应用程序、数据和算法。
半导体产业市场机遇
2020年,全球生产了超过9530亿块芯片,养活了一个价值4400亿美元的行业。2021年,半导体行业的芯片产量超过1.1万亿片,市场规模达到5900亿美元。增长势必持续,市场分析师预测,到2025年,该行业的市场规模可能达到近7000亿美元。
半导体技术在塑造互联和智能网络的未来方面发挥着至关重要的作用,终端市场也在持续增长。下面的概述显示了基于外部研究对整个行业当前市场规模和市场机会的展望。
| | | | | | | | | | | | | | | | | | | | |
市场 | 关键驱动因素 | 2020年市场规模(10亿美元) | 2025年市场机会(10亿美元) | 2030年估算1(10亿美元) | Outlook CAGR 2020-2025(%) | 之前的展望2019-2024年CAGR(%) |
智能手机 | 持续更新所有半导体内容,包括图像传感器 | 116 | 162 | 210 | 7.0 | % | 7.9 | % |
个人计算机 | 高端计算和内存,快速转换为固态硬盘 | 100 | 121 | 132 | 3.9 | % | 2.8 | % |
消费电子 | 传统产品和封装IC、附加组件中的高级IC | 48 | 74 | 98 | 8.8 | % | 7.7 | % |
汽车 | IC内容增长强劲:GPU、传感器、V2X通信传感 | 39 | 82 | 131 | 16.3 | % | 9.5 | % |
工业电子学 | 基于大数据和传感器的AI高端计算 | 50 | 82 | 119 | 10.5 | % | 7.8 | % |
有线和无线基础设施 | 用于快速数据处理、调制解调器、基站基础设施更新的设备 | 38 | 53 | 63 | 7.0 | % | 5.5 | % |
服务器、数据中心和存储 | 处理器和内存高速增长,包括GPU在内的硬件加速 | 76 | 119 | 187 | 9.2 | % | 10.6 | % |
| | 466 | 693 | 940 | 8.2 | % | 7.3 | % |
1.利用‘15-’25复合年增长率(CAGR)对2030年的数据进行ASML外推
半导体行业动态
有几个因素正在塑造半导体行业的格局。这些是推动行业发展的一些主要趋势,无论是现在还是未来。
不断增长的消费需求
无线通信、电信、媒体和云通过互联设备的融合继续推动着全球对先进半导体的需求。不断增长的人口和城市化正在创造对先进消费电子设备日益增长的需求。微芯片是这些设备的核心。新兴技术的显著增长动力要求专门为一波新应用设计的新的和先进的芯片。更多内容请阅读:半导体行业趋势、机遇和客户亲密度。
全球人才竞赛
具有技术背景的高技能人才在劳动力市场上稀缺,竞争日益激烈。顶尖人才选择他们选择的雇主,而不是反过来。随着该行业争夺一小批具备开发创新解决方案技能的科学家、工程师和软件开发人员,全球人才竞赛正变得更加关键。
企业正试图为增长而增加员工,但高科技资源池很浅。STEM职位的数量预计将大幅增长,但鉴于合格候选人的短缺,填补这些职位是具有挑战性的。留住人才对科技公司来说已变得至关重要。更多内容请阅读:我们的人民。
全球地缘政治
当前的贸易环境对全球半导体行业提出了重大挑战,贸易紧张和保护主义加剧的局面可能会持续下去。全球大流行提醒世界各国政府,全球供应链可能造成对服务、原材料和终端产品的严重地理依赖。半导体在大型工业综合体的发展和连续性中发挥着越来越重要的作用,半导体行业的重要性可能只会增加。各国政府已将注意力转向确保充足的半导体供应,以支持本国产业,创造更高水平的技术主权,并计划在半导体行业进行重大投资。
该行业正被迫管理交易成本。最终,这可能会转嫁到终端市场,导致设备价格上涨。除了金融影响外,贸易紧张和保护主义还在整个供应链及其流程中带来了显著的复杂性。这迫使该行业重新审视其全球供应链。更多内容请阅读:我们的供应链,我们如何管理风险和风险因素。
扩大研发投入
在快速发展的半导体行业中,获得最新的技术、芯片设计和制造工艺是竞争的基础。研发是一项越来越重要的优先事项和开支。芯片制造商面临的支持应用和终端市场正变得越来越复杂。由于科技平台公司的崛起转向内部芯片设计,传统半导体公司面临着多样化投资组合的挑战。
此外,执行创新的增量成本正在上升,需要更高水平的研发投资才能实现同样的目标。将产品更快地推向市场至关重要,否则芯片制造商可能会错失良机。因此,及早向客户提供解决方案的压力越来越大。更多内容请阅读:创新生态系统、风险因素和财务业绩。
不断变化的景观
为了利用AI、物联网、5G和自动驾驶汽车等大趋势的融合,该行业正在向能够释放整个投资组合价值的资产投资大量资金。
近年来,全球半导体产业呈现出巨大的增长,预计这种增长将继续下去。该行业正在重新关注增加规模和核心能力的熟练程度,以及向新能力和新市场扩张。合并、收购和合资预计将是芯片市场战略的关键部分,交易重点是新兴技术。更多内容请阅读:半导体行业趋势和机遇,我们的供应链和风险因素。
对气候变化采取行动
气候变化是全世界的当务之急。这是一项全球挑战,需要全球责任将气温上升控制在远低于2摄氏度的水平。该行业可以发挥作用。
半导体制造过程消耗了大量的能源和水资源。在推动摩尔定律的同时,使计算能力和存储能力得以提高的同时,也推动了对这些资源的需求。为了提高能源和水资源的效率,将需要新的架构和看待整个生态系统的新方式。为了迎接这些挑战,半导体行业必须降低功耗。更多内容请阅读:气候和能源。
SWOT分析
根据半导体行业和社会的全球趋势和发展采取行动,是我们业务成功的重要因素,也是为我们的利益相关者创造价值的重要因素。利用这些外部和内部因素,以及当前和未来的潜力,我们评估了我们公司在我们所处的环境中的竞争地位。下表简要概述了我们的优势、劣势、机会和威胁(SWOT)。有关我们如何管理该主题的更多信息,请参阅参考部分。
| | | | | |
优势+ | 弱点– |
·技术领先地位 (更多请阅读:我们的产品和服务,创新生态系统)
·市场领先地位 (更多内容请阅读:我们的产品和服务、我们的市场、客户亲密度)
·协作和持久的创新 (更多内容请阅读:创新生态系统)
·拥有世界一流的员工队伍,并保持积极进取的精神 (更多内容请阅读:我们的核心价值观,我们的人民)
·强大的财务状况 (更多内容请阅读:2021年亮点,财务业绩)
| ·资源和流程成熟,以支持快速增长 (更多内容请阅读:我们的员工,我们如何管理风险)
·有限的成本领先优势 (更多内容请阅读:卓越运营,CFO财务审查,我们如何管理风险)
·我们的产品和技术日益复杂 (请参阅:我们如何管理风险)
|
机遇ä | 威胁 æ |
·顺应科技大趋势 (Read更多内容:半导体行业趋势和机遇、我们的战略)
·整体微影投资组合扩张 (Read更多内容:我们的产品和服务、我们的战略)
·半导体行业新客户的出现 (Read更多内容:半导体行业动态)
·提高品牌知名度 (Read更多内容:我们的人民)
·增强可持续发展动力 (Read更多内容:我们的战略、循环经济、气候和能源)
| ·地缘政治紧张局势 (Read更多内容:半导体行业动态、我们如何管理风险)
·供应链中断 (Read更多内容:我们的供应链、我们如何管理风险)
· IP技术领先压力 (Read更多:在我们如何管理风险中)
·某些市场竞争激烈 (请参阅:我们如何管理风险)
·人才竞争 (更多内容请阅读:半导体行业动态,我们的员工,我们如何管理风险)
·疫情和气候变化的后果 (请参阅:我们如何管理风险、气候和能源)
|
我们的战略
半导体行业的长期增长基于这样一个原则,即通过不断缩小(增加微芯片上晶体管的密度)和系统规模(改进微芯片设计、材料和架构)相结合,数字电子设备上的每一次计算所需的功率、成本和时间都会不断减少。
在接下来的十年里,我们相信摩尔定律将继续从电力和时间的成本,通过系统的扩展,到衡量能源和时间效率的总和。这意味着半导体路线图将继续推动四个领域的规模扩张:
•通过新的晶体管结构和相关材料实现器件级扩展
•通过优化微芯片电路设计实现电路扩展
•通过收缩进行尺寸缩放
•通过3D集成电路实现架构伸缩
规模扩大推动了对先进半导体解决方案的需求,其中尺寸调整(缩小)是提高电路密度和成本的关键。为了在下一个十年推动负担得起的规模扩张,芯片制造商的路线图需要继续缩减。光刻是缩小的关键因素,因为它是用来在微芯片上图案化结构的工艺。
我们投资于以技术为基础的创新路线图,通过增强EUV的分辨率以及覆盖和图案保真度控制的整体规模,实现微芯片的持续缩小。此外,我们还投资于DUV以及计量和检测技术的持续创新,以补充EUV主导的收缩能力。这就是我们追求长期战略愿景的方式。
我们通过在研发方面进行大量和持续的投资,以与客户相同的速度在整个产品组合中进行创新。为了加速我们的产品开发,我们并行而不是顺序地进行设计,同时始终保证产品的质量、可靠性、可制造性和适用性。这使我们能够更快地将我们的创新成果送到芯片制造商手中。我们与芯片制造商合作,了解我们的技术如何最好地满足他们的需求,包括他们面临的挑战和对未来的愿景。正是通过这种合作和信任,我们才能为今天而建,为明天而发展。
我们核心战略的五大支柱
为了实现我们在半导体行业的长期战略愿景,我们继续推动我们的核心战略,我们围绕五大支柱确定了该战略:加强客户信任、整体光刻和应用、DUV竞争力、用于制造的EUV 0.33 NA和EUV 0.55 NA插入。
我们的可持续性战略
通过我们的可持续发展战略,包括五个战略领域-气候与能源、循环经济、人、创新生态系统和负责任的供应链-我们继续推进我们的企业责任,为我们的利益相关者创造长期价值,并为联合国的可持续发展目标(SDGs)做出贡献。
我们希望确保可持续的影响,同时为我们的利益相关者提供当前和未来的最佳价值。专注于对我们的业务和利益相关者至关重要的事情,是我们战略的基石。通过重要性评估,我们确定和评估与我们的利益相关者最相关的主题,并维持ASML的长期业务增长。更多内容请阅读:非财务报表-重要性评估。
十多年来,我们一直致力于通过多方面的可持续发展计划实现可持续发展。我们的目标是解决与我们和我们的利益相关者最相关的问题,作为我们履行企业责任的一部分。
我们目前的可持续发展战略于2018年启动,2019年至2025年期间,重点关注五个战略可持续发展领域。在过去的几年里,我们在逐步扩大业务范围的同时,表现出了不断的改进和一贯的业绩。然而,我们公司的发展以及对可持续发展的环境、社会和治理(ESG)方面的透明报告的需求日益增长,这促使我们重新评估2021年的可持续发展战略。
为此,我们根据主要的可持续性主题及其对我们业务运营的相对重要性,更新了对2022-2025年剩余时期的重要性评估。这一评估的结果成为ASML重塑和重新制定我们2025年及以后的长期可持续发展雄心和目标的基础,以加强我们利益攸关方的期望与我们的可持续发展战略之间的相关性。
提高ESG可持续性的标准
在ASML,我们的目标是通过能够进一步缩小的光刻产品和服务,为数字化和可持续的未来做出积极贡献。作为一个负责任的组织,我们希望做出更多努力,成为可持续发展领域的领导者,利用我们的创新优势实现这一目标。
我们相信数字技术是可持续社会的基石。在微芯片的支持下,它们构成了工具和解决方案的核心,可以帮助社会取得进步和应对全球挑战,例如通过减少能源消耗和温室气体(GHG)排放来应对气候变化。
我们的产品继续支持摩尔定律的延续,该定律使计算、通信和我们生活的无数方面更加节能。为了追求我们的愿景,我们开发了光刻技术,以继续生产每两年能效提高三倍的微芯片。此外,我们正在帮助我们的客户最大限度地减少生产先进微芯片所需的材料和能源的使用。
我们已经制定了路线图,让我们到2030年实现垃圾处理净零,到2040年实现价值链净零排放。我们的目标是实现这一目标,拥有一支多元化、敬业和有才华的劳动力队伍和强大的创新合作伙伴网络,所有这些都敏锐地着眼于一个更可持续的社会的需求。为了取得成功,我们需要将这种ESG抱负嵌入到我们的企业文化、心态和日常运营中。
我们的ESG可持续发展路线图2022-2025
在我们目前的可持续发展战略和取得的进展的基础上,我们重新评估了我们的路线图,目前正在丰富我们的2025年路线图。我们着眼于我们在各个层面上的影响,从整个社会到我们自己的行动。作为广泛重新评估的结果,我们将主要问题和我们的影响领域整合为九个可持续发展主题,按我们公司、业务和运营的环境、社会和治理(ESG)方面进行分类。
| | | | | |
类别 | 主题 |
环境 | 能效与气候行动 循环经济 |
社交 | 对所有人都有吸引力的工作场所 创新生态系统 负责任的供应链 我们社区的重要合作伙伴 |
治理 | 综合治理 利益相关者参与 透明的报告 |
环境
我们开发光刻技术,以继续生产每一代更节能的微芯片,取代许多低能效的技术、产品和服务。减少我们的环境足迹和管理我们的废物--包括我们的运营和我们产品和服务的使用--是我们循环经济方法和可持续发展实践的关键。
我们坚持我们的雄心,到2025年在我们的业务(范围1和范围2)实现净零排放的碳中和。与此同时,我们提高了对范围3排放的雄心。通过与一级供应商的密切合作,我们的目标是到2030年实现供应链的净零排放。此外,通过联合路线图上的行业合作,我们努力在2040年前为我们的客户(范围3)的产品使用实现净零排放。
社交
作为一家跨国科技公司,我们直接和间接地影响着许多人的生活。在我们的价值观和对企业责任的承诺的推动下,我们希望在社会中发挥积极作用-为我们的员工、我们周围的社区以及参与我们的创新生态系统和供应链的每个人。
我们的目标是提供尽可能好的员工体验,需要我们选择为我们工作的人才,并希望长期与我们在一起。我们培育了一种文化,在这种文化中,不同的身份、背景、才华和激情受到重视和赞扬,我们使我们的领导层能够通过信任、赋权和问责发挥人的最佳潜能。我们还在我们周围的社区中发挥着积极的作用。我们的目标是成为一个有价值和值得信赖的合作伙伴,提高所有人的生活质量,特别关注服务不足社区的人们。
我们在我们的行业和创新生态系统中加强创新,培育年轻企业家。我们与我们价值链中的客户和合作伙伴密切合作,帮助他们实现目标并实现新技术和应用。我们努力达到行业的社会、道德和环境标准,我们也要求我们的供应商也达到这些标准。
治理
随着公司的发展壮大,组织结构也变得更加复杂。我们倡导良好的综合公司治理,其中独立性、问责制和透明度是最重要的要素。这些也是我们与我们的利益攸关方--股东、客户、供应商、员工和社会--之间建立信任、尊重和互利关系的基础。持续的利益相关者参与,即我们通过各种渠道和不同层面接受公开对话和知识共享,对我们这个创新驱动的行业非常重要,并有助于我们确定改进的领域。
为了在设定的时间框架内实现我们的雄心,我们专注于加强我们组织的治理结构,以确保我们ESG可持续发展路线图上的每个项目都嵌入到运营业务计划中,并为实现其目标做好最好的准备。
关于本年度报告中ESG主题的读者指南
2021年年度报告概述了ASML在2021年日历年的战略、计划和业绩。在可持续发展方面,我们指的是可持续发展的五个战略领域-气候与能源、循环经济、人、创新生态系统和负责任的供应链-与我们自2019年以来的披露一致。
虽然我们已经在2021年9月29日的ASML投资者日推出了更新的ESG重点领域,但衡量我们业绩和成功的指标正在定义中,实施将于2022年开始。根据我们的2022年度报告,我们将使用这套指标报告我们最新的ESG雄心。
我们如何创造价值
我们业务的成功有赖于与价值链上的所有利益相关者建立牢固、可持续的关系,以实现所需的半导体技术创新。我们利用利益相关者的意见以及行业和社会的趋势来制定我们的战略、我们的产品和服务。我们将我们的利益相关者定义为我们的股东、客户、供应商、员工和我们经营的社会。
我们致力于为我们的利益相关者创造长期价值,并对联合国可持续发展目标(SDGs)产生更广泛的影响。我们的价值创造模型基于国际综合报告理事会(IIRC)开发的框架,在该框架中,我们将我们在执行战略时用于业务活动的资本资源建模为财务、环境、社会和治理主题。每一种资本资源都是相互关联的,商业活动往往需要混合资本。对于每个主题,我们制定了业绩指标,根据所使用的资本资源衡量成果进展情况。我们的目标是以最有效的方式使用我们的资本资源,使其潜在价值最大化,并将其负面影响降至最低,作为我们不断改进和为所有利益相关者创造长期价值的努力的一部分。
利益相关者价值
我们的目标和战略旨在通过我们的金融、环境、社会和治理重点领域和主题创造短期和长期价值。短期价值--一年的时间范围--体现在2021年成果绩效指标中。有关我们进展情况的更多信息可在本年度报告的后续章节中找到。长期价值--五到十年的时间范围--如下所述,按每个利益相关者创造的价值分类。最后,我们将我们对整个价值链的长期影响与联合国制定的可持续发展目标联系起来。我们专注于我们可以产生最大影响的五个可持续发展目标:可持续发展目标4优质教育、可持续发展目标8体面工作和经济增长、可持续发展目标9创新和基础设施、可持续发展目标12负责任生产和消费,以及可持续发展目标13气候行动。
长期利益相关者价值
我们的核心价值观-挑战、协作和关怀-是我们旨在创造长期价值的文化的关键贡献者,也是我们战略执行的重要推动因素。我们为所有利益相关者定义了我们的长期价值如下:
股东价值
为了执行我们的业务战略,我们在研发方面进行了大量和持续的投资,使我们能够保持在整体光刻领域的领先地位。我们的创新有助于半导体行业的长期增长,这有助于我们稳健的财务业绩和资本回报政策。
客户价值
作为世界领先的芯片制造设备制造商之一,我们投资于使微芯片继续缩小的创新。凭借EUV 0.33 NA和下一代EUV 0.55 NA平台,我们追求摩尔定律的延续。这使我们的客户能够为新的应用和设备开发功能更强大的芯片。同时,我们通过在我们的产品中嵌入循环原则,帮助我们的客户降低成本和环境足迹。
供应商价值
随着我们的发展,我们的创新进入了更高的复杂性水平,我们希望我们的供应商与我们一起成长。我们与我们的供应商网络一起创新,分享知识,利用彼此的技术专长。与供应商的长期关系、密切合作和透明度是我们成功的关键。
员工价值
近年来,我们的劳动力急剧增长,从2016年的约16,500 FTE几乎翻了一番,到2021年超过32,000 FTE。例如,我们在荷兰维尔德霍温的总部拥有16,727名员工,是社区的主要雇主。我们是一个值得骄傲的雇主122民族,允许不同的观点,在我们寻求发展最好的想法。发展我们的员工对我们业务的持续成功至关重要,因此我们投资于他们的职业发展和福祉。
社会价值
凭借我们不断的创新,我们启用了支持半导体行业增长和转型的新技术,使用人工智能提供新的应用和服务,以满足社会需求。通过我们的创新生态系统,我们通过回馈社会来培育创新,例如通过与大学和研究机构分享我们的专业知识,支持年轻的科技公司,以及在全球范围内促进STEM教育。我们还开发突破性技术来加强我们的创新足迹,并将我们的环境足迹降至最低。我们通过寻求最大限度地减少浪费和最大化我们使用的材料的价值来做到这一点,并执行我们的碳足迹战略和产品能效战略。
可持续影响
我们相信,芯片行业在应对社会经济和环境挑战方面处于独特的地位。我们专注于与我们的利益相关者最相关的挑战和可持续发展领域,我们认为ASML可以在这些领域拥有最大的
对长期的影响。更多内容请阅读:非财务报表-重要性评估和半导体行业趋势和机遇-SWOT分析)。我们把重点放在联合国的可持续发展目标上,在这些目标上,反兴奋剂机构可以发挥真正的作用。
首席财务官的消息
罗杰·达森(执行副总裁总裁兼首席财务官)
尊敬的利益相关者:
在数字基础设施加速的推动下,半导体终端市场的强劲增长,以及未来先进节点上不断增加的光刻强度,推动了对我们产品和服务的需求。这些动态推动了我们公司的增长,在销售额、我们的员工队伍和我们为提高我们的能力以支持客户的晶片需求而进行的投资方面。凭借我们对技术领先地位的持续投资,我们为所有利益相关者创造了巨大的价值,我们拥有合适的工具,可以在未来几年实现持续的可持续增长。
2021年创纪录的净销售额
今年是ASML的又一个增长年,净销售额达到186亿欧元,增加了46亿欧元,创下了纪录。新冠肺炎危机加速了全球数字化进程,导致我们的客户在所有细分市场的先进和成熟节点的需求强劲增长。
逻辑系统的销售额增长了22亿欧元,增幅为30%。这是因为客户继续看到对先进和成熟节点的强劲需求,以支持正在进行的数字转型,其中包括长期增长驱动因素,如5G、人工智能、虚拟现实、游戏、模拟和可视化应用,以及将成为不断增长的数字基础设施不可或缺的智能云和边缘。由于终端市场对服务器和智能手机的强劲需求,内存系统的销售额增长了11亿欧元,增幅为39%。
在EUV中,我们看到客户在逻辑和DRAM中采用了更多的层。采用率预计将继续增长,以降低图案的复杂性和成本,并支持我们客户激增的需求。这导致2021年EUV系统收入达到63亿欧元,比2020年增加18亿欧元。我们在2021年成功发货并认可了42个EUV系统,其中包括我们第一个用于大批量制造的NXE:3600D。2021年,我们总共出货了26台NXE:3600D。与NXE:3400C相比,NXE:3600D在产品覆盖方面的性能提高了约30%,并将吞吐量生产率提高了15%至20%。
净服务和现场选项销售额增长了13亿欧元,增长了35%,这是由于生产力、覆盖和Focus升级套餐的销售增加,以及不断增长的安装基础。在全球芯片短缺的情况下,我们的客户提前了对我们的生产率增强组件的需求,这些组件提供了最有效和最高效的方式来增加晶圆产量,因为它们可以快速安装。
我们的供应链面临的挑战
为了满足我们整个产品组合的强劲需求,我们一直在缩短制造周期,我们正在与我们的供应链合作,以提高我们对EUV和DUV的输出能力。在提高产能以满足日益增长的需求的过程中,我们感受到了新冠肺炎危机的后遗症,表现为我们的供应链中出现了一些材料短缺。我们与供应商和客户密切合作,以解决材料短缺的问题,以支持我们所有业务线不断增长的全球需求,但这些短缺确实导致许多系统的组装工作起步较晚。此外,我们在新物流中心的启动过程中也遇到了一些问题。由于这些因素和高需求环境,我们的客户更频繁地要求快速发货,我们在完成正常的工厂验收测试(FAT)之前通过发货加快系统交付,以便尽快将系统投入生产。这导致收入确认被推迟到发货后,直到现场完成正式的客户验收测试。
由于我们位于维尔霍温的新物流中心的启动问题,以及我们供应链中的材料短缺,我们的发货出现了延误。为了满足客户对更多晶圆产能的需求,我们加快了生产率升级的交付。总体而言,我们支持强劲客户需求的能力推动2021年总净销售额增长33%。
展望
正在进行的数字转型和目前的芯片短缺进一步推动了增加我们的能力以满足当前和预期的未来需求的需要。假设客户对高级和成熟节点的需求保持强劲,我们预计我们的Logic业务将继续增长。对于内存,今年的增长预计将持续到2022年,因为光刻工具的利用率仍然非常高,而客户表示,他们看到了对DRAM和NAND的强劲需求增长。为了满足这种预期的位增长需求,客户将需要增加容量并继续进行节点迁移。随着客户迁移到更高级的节点,我们还预计会看到EUV对内存的需求增加。随着装机量的增长,我们的服务和升级业务将继续扩大,我们预计升级需求将会很大,随着这项技术的批量生产,EUV服务收入的贡献也会越来越大。
强劲的毛利、净收入和经营活动提供的现金
毛利占净销售额的百分比由2020年的48.6%上升至2021年的52.7%,主要归因于NXE 3600D和DUV沉浸系统的价值主张以及我们装机基础业务的持续增长。我们继续推动我们的EUV系统的盈利能力,因此,我们在2021年实现了50%的系统毛利率。展望未来,我们将继续通过降低成本和提供更多价值来提高系统和服务的利润率,从而导致更高的销售价格。
我们的有效税率提高到15.2%,主要是因为荷兰自2021年起提高了创新盒子税率。我们预计未来几年我们的有效税率约为16%。
我们强劲的净收入和持续的营运资本改善计划导致经营活动提供的净现金在2021年增加了62亿欧元。显著的增长使我们能够通过股息和股票回购计划向股东返还创纪录的金额。2021年,我们以86亿欧元的总代价回购了股票,并支付了总计14亿欧元的股息。我们预计明年将继续为股东带来强劲的现金回报。
总体而言,受正在进行的数字转型和当前芯片短缺的推动,这是ASML又一个创纪录的一年。作为向更互联世界的数字化转型的一部分,长期增长趋势以及推动技术主权的国家正在推动先进和成熟节点所有细分市场的未来需求。
罗杰·达森
首席财务官
ASML运营更新关键性能指标
下表列出了我们的管理委员会和高级管理层用来衡量业绩的关键绩效指标。
| | | | | | | | | | | | | | |
截至2013年12月31日的年度(欧元,除非另有说明,以百万为单位) | 2020 | %1 | 2021 | %1 |
销售额 | | | | |
总净销售额 | 13,978.5 | | | 18,611.0 | | |
总净销售额同比增长(%) | 18.3 | | | 33.1 | | |
系统净销售额 | 10,316.6 | | | 13,652.8 | | |
净服务和现场选项销售 | 3,661.9 | | | 4,958.2 | | |
光刻系统的销售量(单位)2 | 258 |
| 309 |
|
已识别的浸没系统(单位) | 68 | | | 81 | | |
已识别的EUV系统(单位) | 31 | | | 42 | | |
盈利能力 | | | | |
毛利 | 6,797.2 | | 48.6 | | 9,809.0 | | 52.7 | |
营业收入 | 4,051.5 | | 29.0 | | 6,750.1 | | 36.3 | |
净收入 | 3,553.7 | | 25.4 | | 5,883.2 | | 31.6 | |
流动性 | | | | |
现金和现金等价物 | 6,049.4 | | | 6,951.8 | | |
短期投资 | 1,302.2 | | | 638.5 | | |
经营活动提供的净现金 | 4,627.6 | | | 10,845.8 | | |
自由现金流3 | 3,626.8 | | | 9,905.5 | | |
1.占总净销售额的百分比。
2.光刻系统不包括计量和检测系统。
3.自由现金流是一种非公认会计原则的衡量标准,定义为经营活动提供的净现金。(2021年:108.458亿欧元,2020年:46.276亿欧元)减去购买不动产、厂房和设备(2021年:9.007亿欧元,2020年:9.62亿欧元)和购买无形资产(2021年:3,960万欧元,2020年:3,880万欧元)。我们相信自由现金流是我们投资者的重要流动性指标,反映了可用于收购、偿还债务以及通过股息和股份回购向股东返还资金的现金。购买不动产、厂房和设备以及购买无形资产在计算自由现金流量时从经营活动提供的净现金中扣除,因为这些付款对于支持我们资产的维护和投资以维持当前资产基础是必要的。
2021年经营业绩与2020年相比
| | | | | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | %1 | 2021 | %1 | 更改百分比 |
系统净销售额 | 10,316.6 | | 73.8 | | 13,652.8 | | 73.4 | | 32.3 | |
净服务和现场选项销售 | 3,661.9 | | 26.2 | | 4,958.2 | | 26.6 | | 35.4 | |
总净销售额 | 13,978.5 | | 100.0 | | 18,611.0 | | 100.0 | | 33.1 | |
| | | | | |
系统销售成本 | (5,169.3) | | (37.0) | | (6,482.9) | | (34.8) | | 25.4 | |
服务成本和现场选项销售 | (2,012.0) | | (14.4) | | (2,319.1) | | (12.5) | | 15.3 | |
销售总成本 | (7,181.3) | | (51.4) | | (8,802.0) | | (47.3) | | 22.6 | |
| | | | | |
毛利 | 6,797.2 | | 48.6 | | 9,809.0 | | 52.7 | | 44.3 | |
| | | | | |
研发成本 | (2,200.8) | | (15.7) | | (2,547.0) | | (13.7) | | 15.7 | |
销售、一般和行政费用 | (544.9) | | (3.9) | | (725.6) | | (3.9) | | 33.2 | |
其他收入 | — | | — | | 213.7 | | 1.1 | | 不适用 |
营业收入 | 4,051.5 | | 29.0 | | 6,750.1 | | 36.3 | | 66.6 | |
| | | | | |
利息和其他,净额 | (34.9) | | (0.2) | | (44.6) | | (0.2) | | 27.8 | |
所得税前收入 | 4,016.6 | | 28.7 | | 6,705.5 | | 36.0 | | 66.9 | |
| | | | | |
所得税费用 | (551.5) | | (3.9) | | (1,021.4) | | (5.5) | | 85.2 | |
所得税后所得 | 3,465.1 | | 24.8 | | 5,684.1 | | 30.5 | | 64.0 | |
| | | | | |
权益法投资的利润 | 88.6 | | 0.6 | | 199.1 | | 1.1 | | 124.7 | |
净收入 | 3,553.7 | | 25.4 | | 5,883.2 | | 31.6 | | 65.6 | |
1.占总净销售额的百分比。
有关ASML截至2020年12月31日的年度与截至2019年12月31日的年度经营业绩的比较,请参阅CFO财务审查-财务业绩-ASML 20-F表格2020年至2019年的经营业绩截至的年度2020年12月31日
净销售额和毛利润合计
我们在2021年又实现了创纪录的一年,总净销售额增长了46.325亿欧元,增幅为33.1%,反映出系统净销售额增长了32.3%,与2020年相比,服务和现场选项净销售额增长了35.4%。
我们在逻辑和存储市场都看到了增长,这反映了我们的客户创新的动力,并继续投资于未来的技术节点,以促进数字基础设施的加速和推动“技术主权”,并提高制造能力,以解决全球芯片短缺的问题。在数字化转型和分布式计算的推动下,对先进和成熟节点的逻辑需求继续强劲。在终端市场对服务器和智能手机需求的推动下,内存需求继续增长。
净销售额的增长是由我们的客户对所有技术的需求强劲增长推动的。我们的DUV和EUV销量增加,以跟上持续的数字转型和当前芯片短缺所推动的客户需求。我们在2021年确认了42个EUV系统的收入,而2020年为31个EUV系统。我们DUV技术的系统销量从2020年的227台增加到2021年的267台。
除了EUV和DUV的增长外,服务和现场选项销售也是我们净销售额整体增长的关键驱动力。这一增长是由生产力、覆盖和焦点升级套装的销售增加推动的,这些套装提供了快速增加晶片产量的最有效和最高效的方式,并得到不断增长的安装基础的支持。EUV继续以更有意义的方式为净服务和现场选项销售做出贡献,因为我们的安装基础继续增长,我们的客户继续在其大批量生产中运行更多的EUV系统。
毛利
由于销售额和盈利能力的增加,毛利润也有所增加。毛利润占净销售额的百分比从2020年的48.6%增加到2021年的52.7%,主要归因于我们为客户提供更多价值的EUV盈利能力的改善,DUV产品组合以及通过提高产量和生产力升级数量改善了我们安装的基础业务的盈利能力。
研发成本
研发成本是25.47亿欧元2021年,与2020年的22.08亿欧元相比。我们的每个EUV、DUV和支持我们整体光刻解决方案的应用程序的投资都有所增加,其中最重要的投资流向了我们的路线图,以继续加强EUV的大批量制造,以及我们的开发EUV 0.55 nA(高nA)的开发。2021年,研发活动主要涉及:
•EUV-继续投资EUV大批量制造,完成NXE:3600D的开发,投资NXE:3800E的开发,并进一步提高我们已安装基础系统的可用性和生产率。此外,我们的路线图还包括我们的下一代EUV 0.55 NA系统High-NA,以支持我们的客户使用未来的Logic和DRAM节点.
•我们最新一代浸渍系统NXT:2050i的DUV升级和干式系统XT:860N的推出。下一代扫描仪将于2022年发货,NXT:2100i用于最关键的DUV层,NXT:870用于KRF干式市场的突破性生产力。继续提高生产率,以提高客户安装基础上的每天晶圆产量.
•应用-继续投资于单光束检测、电子束计量和光学计量(YeldStar ADI和IDM解决方案)。此外,确保我们的多波束检测路线图的安全,并不断扩大我们在整体软件应用领域的投资。
销售、一般和行政费用
SG&A成本增额通过33.2%从2020年到2021年由于员工数量的增加,以及在数字化和网络安全方面的投资,以支持我们的增长。我们的销售、一般和行政成本占2021年净销售额的百分比保持在3.9%(2020年3.9%).
所得税
实际税率 增额至15.2%2021年,而2020年为13.7%。较高的税率主要是由于荷兰的创新盒子税率从7%提高到2021年的9%。
净收入
2021年的净收入为O 58.832亿欧元,占总销售额的31.6%净销售额NTT欧元14.36基本版每股普通股净收益,而2020年的净收益为35.537亿欧元,占总净销售额的25.4%,相当于每股普通股基本净收益8.49欧元。
现金流分析
今年我们实现了创纪录的现金流表现。在客户的强劲全球需求和我们的营运资本计划的推动下,我们的经营活动提供的净现金增加到108亿欧元(2020年:46亿欧元)。我们还继续努力将现金返还给我们的股东。通过股票回购计划和不断增加的股息,我们能够向股东返还创纪录的现金。2021年,我们购买了86亿欧元(2020年:12亿欧元)的股票,支付了总计14亿欧元(2020年:11亿欧元)的股息。
我们继续大力投资于我们的下一代技术,以确保未来的增长机会,这需要在净营运资本、资本支出和研发方面进行大量现金投资。然而,我们的资本分配政策保持不变。
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
期初现金及现金等价物 | 3,532.3 | | 6,049.4 | |
| | |
经营活动提供(用于)的现金净额 | 4,627.6 | | 10,845.8 | |
投资活动提供(用于)的现金净额 | (1,352.2) | | (72.0) | |
融资活动提供(用于)的现金净额 | (753.0) | | (9,891.7) | |
汇率变动对现金的影响 | (5.3) | | 20.3 | |
现金及现金等价物净增(减) | 2,517.1 | | 902.4 | |
| | |
期末现金和现金等价物 | 6,049.4 | | 6,951.8 | |
短期投资,期末 | 1,302.2 | | 638.5 | |
现金和现金等价物及短期投资 | 7,351.6 | | 7,590.3 | |
| | |
购置不动产、厂房和设备及无形资产 | (1,000.8) | | (940.3) | |
| | |
自由现金流1 | 3,626.8 | | 9,905.5 | |
1.自由现金流量是非公认会计准则衡量标准,其定义为经营活动提供的现金净额(2021年:108.458亿欧元和2020年:46.276亿欧元)减去购买房地产、厂房和设备(2021年:9.007亿欧元和2020年:9.62亿欧元)和无形资产购买(2021年:3960万欧元和2020年:3880万欧元)。
经营活动提供(用于)的现金净额
与2020年相比,经营活动提供的净现金大幅增加62亿欧元,主要是由于净收入增加23亿欧元,以及与我们持续的营运资本改善计划相关的客户首付增加。
投资活动提供(用于)的现金净额
与2020年相比,投资活动中使用的现金净额减少了13亿欧元,这主要是由于我们的大部分短期投资到期,但由于我们的股票回购计划使用了大量现金,新的短期投资的购买量有限,抵消了这一影响。2021年,我们以3亿欧元的价格出售了作为收购柏林Glas的一部分而收购的非核心业务,而在2020年,我们以3亿欧元的总代价收购了柏林Glas。
融资活动提供(用于)的现金净额
与2020年相比,融资活动中使用的现金净额显著增加91亿欧元,这主要是由于通过我们的股票回购计划购买的股票增加了74亿欧元,导致购买的股票总数达到86亿欧元。此外,我们的股息增加了3亿欧元,达到14亿欧元。在2020年,我们从发行15亿欧元的票据中获得了净收益,2021.
截至2021年12月31日,管理层已确定ASML有足够的营运资金来满足公司目前的需求。
长期增长机会
趋势信息
我们预计2022年将是又一个增长年,在健康的Logic需求和内存市场增长的推动下,预计净销售额将比2021年增长约20%。预期的增长是由所有平台上销售额的增加以及我们安装基础业务的增长推动的。围绕创新和拓展新市场的积极行业势头进一步增强了我们对2022年前景和2025年增长情景的信心。
在逻辑中,我们看到了正在进行的数字化转型,因为我们正在向一个更互联的世界迈进。不断扩大的应用空间和长期的增长动力转化为对高级和成熟节点的非常强劲的需求。在这种持续强劲的需求下,我们预计Logic System的收入将同比增长20%以上。
在记忆中,我们也预计今年我们的业务将继续增长。客户表示,系统正在以更高的利用率水平运行。由于客户正在进行技术过渡以支持预期增长,因此预计需要增加更多容量。随后,预计这将触发设备需求。因此,我们似乎很可能在2022年看到内存市场对光刻设备的强劲需求,系统收入同比增长约25%。
客户采用了EUV,随着客户对EUV的信心不断增强,这将转化为他们的下一个节点中更多的层,用于逻辑生产以及在内存中的采用。我们预计将发货约55个系统,其中6个系统的收入将因快速发货而推迟到2023年。尽管发生了这种转变,我们预计2022年我们的EUV系统收入将增长25%。
在我们的DUV和应用业务中,我们预计浸入式和干式系统的增长,以及对计量和检测系统的持续需求。我们预计非EUV发货收入的收入增长超过20%。
我们预计我们的客户群管理业务将进一步同比增长约10%,因为随着我们客户群的增长,对服务的需求将继续扩大。此外,随着越来越多的系统开始在批量生产中运行晶片,我们预计EUV对服务销售的贡献将增加,并且随着客户利用升级作为增加产能的快速方式,预计对升级的巨大需求,特别是在EUV中。
我们对2022年第一季度的预期和指引可以概括为:
•总净销售额在33亿欧元至35亿欧元之间
•毛利率约49%
•研发成本约7.6亿欧元
•SG&A成本约为2.1亿欧元
•年化有效税率在15%至16%之间
上述趋势受到风险和不确定因素的影响。更多内容请参阅:有关前瞻性陈述的特别说明。
展望2025和2030
这十年都是关于分布式计算的,让云更接近边缘设备,通过连接,我们所有人都可以通过设备获得计算能力,从而建立一个互联的世界。电子行业的这些全球大趋势,在一个利润丰厚、创新能力极强的生态系统的支持下,预计将继续推动整个半导体市场的增长。这意味着先进和成熟节点的晶圆需求都会增加。
全球各国争取技术主权的努力,预计将推动资本密集度上升。这意味着,随着光刻支出的增加,预计该行业将在晶圆产能方面进行重大投资。半导体终端市场,如汽车、数据中心、工业和消费电子产品,预计至少在2025年之前将同比增长7%以上,这将推动我们基于EUV组合增加的业务强劲增长,而对DUV的需求预计将在所有波长保持强劲。为了实现这一目标,我们和我们的供应链合作伙伴正在积极增加和提高产能,以满足未来客户的需求。
2021年9月29日,我们在投资者日上展示了我们向上修正的2025年长期增长机会,由于过去两年我们看到了数字化的快速发展,我们在低端和高端市场重新建模了之前的销售情景。预计客户强劲的资本支出增长将持续下去,预计光刻资本支出复合年增长率为13.8%(2017-2025年)。这与我们在2018年投资者日上显示的同期先前预期的复合年增长率7.5%的预期形成对比。
根据不同的市场情景,我们相信我们有机会在2025年达到约240亿欧元至300亿欧元的年销售额,毛利率约为54%至56%。
展望2025年之后,我们还宣布,我们认为增长机会将继续存在,根据第三方研究和我们的假设,我们预计我们的系统和客户群管理部门在2020-2030年期间的年销售额增长率将在11%左右。
我们的销售潜力主要基于假设的有机增长。我们不断审查我们的产品路线图,并不时进行有针对性的收购或股权投资,以增强我们提供的产品的行业协同效应。基于此类审查和对明确的潜在产品和价值协同效应的评估,我们还可能在未来评估和实施重点并购活动。在这一增长雄心内,我们预计将通过不断增长的年化股息和股票回购相结合的方式,继续向我们的股东返还大量现金。
最后,我们寻求不断提高我们在ESG可持续发展关键绩效指标上的表现,并在2022年根据我们的ESG可持续发展战略路线图更新升级KPI,以加快与我们的合作伙伴密切合作的进展。更多内容请阅读:我们在半导体价值链中的位置-我们的战略。
气候变化是一个全球性挑战,需要包括我们在内的每个人都采取紧急行动。将气温升幅控制在远低于2摄氏度的挑战是一项全球责任。在ASML,我们致力于减少我们的碳足迹。在碳足迹方面,我们确定了三个影响领域:我们办公场所使用的化石燃料的直接排放(范围1),我们办公场所的电力消耗(范围2)的间接排放,以及我们价值链(范围3)中客户对我们产品的上游供应链和下游使用的间接排放。
在我们的碳足迹战略中,我们已经确定了我们的雄心,并在所有三个领域设定了目标。我们对CO负有直接责任2我们自己的运营(范围1和2)的排放,我们的目标是实现净零CO2到2025年排放。我们还认识到,我们的足迹超出了这一范围,延伸到我们的价值链(范围3)。我们对范围3排放的主要影响是我们产品的碳足迹,我们的目标是通过提高产品的能源效率和生产率来减少产品的碳足迹。
我们使用气候相关财务披露工作组(TCFD)的评估指南识别和评估气候相关风险和机遇的影响。 更多阅读:我们的TCFD建议:与气候相关的披露,可在www.asml.com上获得。
碳足迹战略
在过去的几年里,我们在减少范围1和范围2的碳足迹和能源消耗以及完善范围3的计算方面,在业绩和成就方面取得了重大进展。尽管我们看到了许多积极的结果,并正在取得进展,但我们也意识到,我们还没有做到这一点。
我们的范围1和范围2碳足迹战略建立在三个原则之上:尽可能减少能源消耗,只使用绿色可再生能源,除非没有其他可能或合理可行的解决方案,以及补偿剩余排放。
我们的目标是到2025年实现范围1的碳中和,我们的目标是通过执行我们在总体计划中定义的超过25个项目,通过直接节省100 TJ(或2.5kt)的能源来实现这一目标,在我们的场地上增加可再生能源的生产,优化我们的2并将我们的员工重新安置到更节能的办公室(BREEAM认证),并对剩余排放实施抵消战略。节能总体规划的主要内容是提高技术设施的能效,改善我们作业的能源管理,以及增加我们自己的可再生能源的生产。下表列出了排名前三位的关键项目。
| | | | | | | | | | | |
重点项目 | 估计节能总量-年(TJ) | 估计范围1减少:中性气体(单位:TJ) | 估计范围2减少:电力(TJ) |
能源电网 | 50 | -40 | -10 |
实施绝热加湿,消除蒸汽产生 | 12 | -12 | 0 |
减少换气(可行性研究) | 20 | 0 | -20 |
关于范围2,我们的目标是增加直接购买绿色能源的份额(所谓的捆绑可再生电力),从我们在荷兰房地附近生产的可再生电力中增加份额,并减少证书的份额。对于美国和亚洲,我们的目标是购买可再生能源属性证书(分别为REC和IREC)和监控
这些国家的可再生能源的演变。我们的范围1和范围2的减排目标与将升温控制在1.5摄氏度以下所需的减排目标一致,并得到了基于科学的目标倡议(SBTI)的批准-在“近期”类别下。
我们认识到,对环境的影响超出了我们的运营范围。一般来说,在我们的价值链(范围3)中,能源消耗对环境的大部分影响来自我们供应商(上游)的温室气体排放和我们客户(下游)对我们产品的使用。结果表明,来自上下游价值链的间接排放(范围3)约占总排放足迹(范围1、2和3)的98%。其中,价值链中的间接排放,“下游”类别--我们客户现场销售产品的使用--占近65%,而“上游”类别--与我们购买的商品和服务相关的排放--占30%。我们范围3的其余5%的排放与交通、商务旅行和通勤等活动有关。
与2019年0.55的基线相比,我们2025年的范围3目标是降低强度水平。强度是通过将范围3的总排放量(以千吨计)归一化为总收入(以百万欧元为单位)来衡量的。考虑到产品组合的变化(销售的EUV系统数量增加),以及我们生产的产品单位产量预计将增加,整个价值链的整体排放量预计将上升。我们的供应商可持续发展计划是减少上游足迹的关键推动因素。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。通过执行我们的产品能效战略,我们可以减少我们的下游足迹。更多内容请阅读:产品能效战略。
我们在2021年取得的成就
2021年,我们将我们的环境报告范围扩大到57个地点-覆盖全球95%以上的CO2排放量-高于上一次报告范围内的20个地点,这些地点覆盖了我们约90%的排放量。扩大的范围使我们准备好在不久的将来针对以科学为基础的目标原则进行报告。我们的增长和报告范围的扩大相结合,导致我们的范围1和范围2的总排放量比2020年增加了约19%。在使用可再生电力方面,我们还需要考虑扩大的环境报告范围,因此可再生电力的份额从2020年的100%下降到92%。我们的雄心不变-对于我们运营产生的排放(范围1和2),我们的目标是到2025年实现碳网中和(范围1和2)。
范围1排放
与半导体行业的同行相比,我们的能源消耗和相关的碳足迹相对较低。作为一家光刻设备制造商,我们的主要直接CO2排放来自化石燃料--主要是天然气。天然气消耗的绝大部分用于建筑物的供暖和洁净室的加湿,以使其保持在设定的温度和湿度水平。有关更多信息,请参见范围1明细表。
在2010-2021年的时间框架内,我们执行了近100个节能项目,累计减少了260 TJ。在同一时期,我们的天然气消费量保持稳定,尽管洁净室和办公室的数量大幅增长(超过10,000万2自2010年起增加)。
能源电网
2021年,我们开始了一个多年项目,实施能源电网,为我们位于荷兰维尔德霍温的办公室重新利用余热。能源电网是一个两管环路,使余热可用于冬季供暖,并在夏季用于节能降温。这项计划连同在我们两间洁净室实施绝热加湿,预计可减少约170万米。3相当于52TJ的天然气。
节能
能源节约主要是通过使用更节能的技术设备和改进我们的整体生产工艺来实现的。我们的努力集中在回收废热和减少我们洁净室的能源消耗上,而保持合适的条件是能源密集型的。
2021年,由于在荷兰和台湾执行的项目,我们每年节省13TJ的能源。在荷兰,最大的项目已经完成,并在2021年节省了近8TJ,从现在起每年将节省约11TJ。在台湾新竹,我们在2021年通过超时优化空调系统的使用,成功地节省了3TJ的能源。
继续我们进一步降低能源消耗的努力,我们希望通过在全球五个不同地点执行大约25个项目,到2025年实现100 TJ的直接节能,正如我们的节能总体计划所定义的那样。
房地产投资组合
随着公司的发展,我们努力优化我们的房地产投资组合。优化我们产品组合中的每一平方米的使用有助于减少我们的环境足迹-节省的每一平方米都是我们不需要加热、降温、通风或照明的一平方米。
在建设新的办公室和制造基地时,我们会利用这个机会使我们的建筑尽可能环保。例如,着眼于未来的发展,我们在荷兰维尔德霍温的新校区的设计非常注重可持续发展。它的设计和材料的使用将使用BREEAM指南进行可持续性能评估,得分为“优秀”。2025年,我们努力在我们运营的国家/地区的新建筑中实施最合适的绿色建筑认证--例如BREEAM、LEED和G-SEED。
范围2排放
电力占我们ASML使用的能源的近80%。我们的大部分电力消耗与制造芯片制造设备有关-从组装到测试光刻和其他系统-以及保持一致的气候条件,如恒定的温度、湿度和空气质量。
2021年,我们在荷兰获得了一份为期10年的绿色电力采购协议,这将使我们能够实现在荷兰100%使用可再生电力的目标。对于我们在美国的用电量,我们也实现了100%的可再生能源。亚洲的可再生能源市场情况略有不同,也更具挑战性--我们正在研究各种选择,以实现我们在那里的雄心。
2021年,我们运营了37亿2安装在我们位于荷兰维尔德霍温的园区的太阳能电池板,预计每年提供相当于2.3TJ的能量。我们计划在未来几年扩大我们在欧洲、美国和亚洲工厂的太阳能电池板份额。
ASML与莱茵集团签署为期10年的绿色电力采购协议
在2020年。ASML和莱茵集团签署了一项购电协议(PPA)。莱茵集团是世界领先的可再生能源公司之一,也是全球能源交易的主要参与者。根据这份为期10年的协议条款,ASML每年将从莱茵集团获得263GWh的绿色电力。这项协议使ASML更接近其到2025年实现碳中性电力的目标。
电力将通过不同技术的各种可再生能源组合提供:荷兰的三个新的莱茵陆上风力发电场、比利时的一个海上风力发电场和荷兰的一个太阳能发电厂。两个荷兰莱茵风电场Oostpolderdijk和Westeem位于Eemshaven附近。海上风力发电场Noordwester 2位于比利时泽布吕日海岸外。第三个风力发电场和太阳能发电厂都位于荷兰的Borssele附近。
范围3排放
我们使用《温室气体议定书》的指导来计算我们的范围3排放量,该组织为排放报告提供了广泛使用的国际标准。我们一直在寻求改进Scope 3计算的数据质量。2021年,我们又迈出了一步,请求CO2通过我们的供应商可持续发展计划直接从我们的供应商那里获得排放数据。认识到我们依赖我们的供应商,我们也鼓励我们的价值链合作伙伴与我们合作,共同减少我们的碳足迹。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。
我们的环境管理系统
我们有一个环境管理系统(EMS),帮助我们监控我们的能源和排放,改善业绩,提高效率。我们的EMS被整合到我们的环境、健康和安全(EHS)综合管理系统中。我们所有的设施都是在这个EHS管理系统的基础上运作的--台南(台湾)和美国圣何塞(美国)的以前的HMI地点已经成功地整合在一起。我们的EHS管理体系通过了ISO:14001认证,并按照ISO:45001的要求构建。这一认证使我们的利益相关者对我们实现环境目标的承诺充满信心。
我们通过监测我们的范围1、2和3的排放来衡量我们在减排方面的进展,这代表了三个关键的绩效指标。我们参与了碳披露项目(CDP)的年度评估,这是一个非营利性的全球披露计划,也有助于指导我们的环境倡议。在最新的CDP气候变化2021年评估中,我们的得分为C,与行业平均水平相同。
产品能效战略
随着对增强芯片功能的需求不断增长,包括我们的光刻系统在内的整个微芯片构图工艺的复杂性和能耗也在增加。广泛采用我们的EUV光刻系统的一个主要好处是能够简化图案化方案以创建微芯片最关键的层,从而减少了应用复杂的多图案化方案的需要-与多图案化工艺相比,这意味着完全加工晶片所需的整体制造能耗和材料消耗更少。然而,EUV的激光等离子体技术需要很高的电力输入,因此我们的产品能效战略重点放在EUV上。我们面临的挑战是提高产品的能效。
我们为自己设定的目标是,尽管生产率不断提高,但到2025年,我们的下一代EUV系统的总体能耗要比2018年基准型号NXE:3400B降低10%。我们的第二个目标是与nxe:3400B(2018年基准)相比,同时将每一次暴露晶圆的能耗降低60%。为了实现这一目标,我们已经制定并正在执行EUV能效路线图。
减少整体能源消耗
EUV光源是我们当前降低能耗的工程工作的重点领域,因为它需要EUV系统总能耗的较大部分。路线图包括优化CO的顺序2激光器,以产生用于产生EUV光的等离子体,例如通过转动CO,2在系统处于空闲模式时熄火,并降低CO2在两次曝光之间开火。我们的长期目标是最终削减CO2在两次曝光之间完全起火。这需要我们的研究团队和我们的供应商进行可行性研究,以确保激光光束路径保持稳定。
另一个节能领域是冷却水策略。我们与我们的供应商一起确定了使用更高温度的冷却水来消除EUV源和电子机柜中的热量的方法。这将通过再循环工艺冷却水减少冷却系统所需的能量。为了实现这一点,我们需要确保驱动激光器等模块可以在更高的温度下运行,我们目前正在与供应商一起开发这一模块.
创建EUV灯光
EUV系统的大部分能量消耗用于操作激光产生的等离子体源来产生EUV光。直径约25微米的锡熔滴从发电机中喷出。当它们移动时,液滴首先被较低强度的激光脉冲击中。然后,更强大的激光脉冲蒸发和电离扁平的液滴,产生发射EUV光的等离子体。这种使用TiN液滴从激光到EUV光的转换过程每秒进行50,000次,是最耗能的步骤。通过提高转换效率,我们可以在恒定晶片输出的情况下降低EUV系统的能耗。要做到这一点,同时确保这不会对EUV系统的其他功能产生负面影响,这对我们的研发团队来说是一个关键挑战。
其他挑战包括开发能够应对更高EUV强度的材料和涂层,以及改进光学部件的热管理-这包括晶片本身,它在生产过程中通过暴露在EUV光下而升温。应对这些挑战需要在我们由客户、供应商和知识机构组成的创新生态系统内持续创新和协作。
降低每个裸露晶片的能耗
通过将总能耗绝对值降低10%,同时与基准型号NXE:3400B相比,生产率提高一倍,我们的目标是将每一次暴露晶圆的能耗降低60%。为了提高硅片的生产效率,我们不断致力于提高壁塞功率到EUV光的转换效率,并优化程序、控制方案和其他组件,如更高反射率的反射镜和更快的工作台。
我们的大部分产品效率提升也作为我们光刻系统安装基础的升级提供。对于我们的客户来说,这有助于改善安装基础的经济价值、提高生产率并降低每片晶片的光刻能耗。
我们在2021年的进展
2021年,我们测量了我们的NXE:3600D系统的能效。与其前身(NXE:3400C)相比,功耗为1.3兆瓦,但生产率为30 MJ/cm2剂量从136片/小时增加到160片/小时。我们通过改进光柱的传输和改进晶片管理,减少所谓的扫描仪开销,实现了更高的吞吐量。与基准模型相比,系统能耗降低了6%。与此同时,每曝光一次的硅片道次能耗降低了37%。这表明我们正在实现我们的目标,即到2025年EUV系统能耗降低10%,每个暴露晶圆通道的能耗降低60%。
2021年,我们安装了稀释系统,旨在简化和减少氢减排系统的能源使用。我们的EUV系统需要氢气来保护EUV扫描仪和光源中的光学元件。对于较新的生产舱,我们选择在使用后稀释和排放氢气,而不是燃烧它。这既节省了甲烷燃烧的能源和排放-保持氢火焰的稳定-也节省了降低冷却水需求。
2021年,我们继续调查更温暖的冷却水的使用情况。我们研究了如何将其应用于驱动激光器,并开始与我们的客户和Semi(代表半导体制造的全球行业协会)接触
供应链),带头广泛更新S23能源标准。由于这涉及到我们供应商的硬件和我们客户的晶圆厂的设施安装的重大变化,该项目是我们长期计划的一部分,目的是到2025年(2018年基准年)将每一次晶圆通过所需的墙上插头功率减少60%。
下表概述了为实现这一产出而取得的产出和能源使用方面的系统成就。
| | | | | | | | | | | | | | | | | | | | |
站台1 | DUV 沉浸 | |
系统 | NXT:1980Di | NXT:2000i | NXT:2050i | NXT:1980 Ei | NXT:1960Bi + PEP—B | |
能源计量年 | 2015 | 2017 | 2020 | 2021 | 2021 | |
能源消耗(兆瓦) | 0.14 MW | 0.14 MW | 0.13 MW | 0.14 MW | 0.13 MW | |
输出(WPH) | 275 | 275 | 295 | 295 | 250 | |
每次曝光晶圆的能耗(kWh) | 0.51千瓦时 | 0.51千瓦时 | 0.45千瓦时 | 0.48千瓦时 | 0.51千瓦时 | |
每年华夫饼 | 2,409,000 | 2,409,000 | 2,584,200 | 2,584,200 | 2,190,000 | |
| | | | | | | | | | | | | | | | | | | | |
站台1 | DUV 干的 | YeldStar |
系统 | Xt:860M | XT:1460 | NXT:1470 | YS350E | 公司简介 | 公司简介 |
能源计量年 | 2017 | 2020 | 2020 | 2017 | 2019 | 2021 |
能源消耗(兆瓦) | 0.07 MW | 0.06 MW | 0.11 MW | 0.01 MW | 0.01 MW | 0.01 MW |
输出(WPH) | 240 | 209 | 277 | 不适用 | 不适用 | 不适用 |
每次曝光晶圆的能耗(kWh) | 0.28千瓦时 | 0.27千瓦时 | 0.38千瓦时 | 不适用 | 不适用 | 不适用 |
每年华夫饼 | 2,102,400 | 1,830,840 | 2,435,280 | 不适用 | 不适用 | 不适用 |
| | | | | | | | | | | | | | | | | | | | |
站台1 | EUV 20 mJ/cm2剂量 | EUV 30 mJ/cm2剂量 | | |
系统 | NXE:3350B | NXE:3400B | NXE:3400C | NXE:3600D | | |
能源计量年 | 2015 | 2018 | 2020 | 2021 | | |
能源消耗(兆瓦) | 1.15 MW | 1.40 MW | 1.31 MW | 1.32 MW | | |
输出(WPH) | 59 | 107 | 136 | 160 | | |
每次曝光晶圆的能耗(kWh) | 19.49千瓦时 | 13.08千瓦时 | 9.64千瓦时 | 8.27千瓦时 | | |
每年华夫饼 | 516,840 | 937,320 | 1,191,360 | 1,401,600 | | |
1.剂量能量(单位:mJ)是指每次暴露每厘米所需的能量2.根据SEMI S23标准,计算的“每年芯片”数量假设为100%正常运行和100%利用率。
使用EUV的先进图案有助于限制能源和水使用以及温室气体排放的增长
更先进的微芯片意味着更小的特征,这需要在光刻中使用更短的波长来制造它们。例如,对于193 nm的DUV光的单次曝光,微芯片图案的图像的最小特征达到了约40 nm的物理极限。然而,通过使用相同图案的两次或更多曝光,即所谓的多重图案化,可以通过4次曝光和附加工艺步骤以2 nm或10 nm的方式在20 nm处成像细节。
在过去的几十年里,带有DUV的多重图案已经成为半导体制造的主流,但代价是必须多次经历相同的工艺步骤,这增加了生产周期时间和对环境的影响。
与DUV相比,13.5 nm的EUV可以实现更高效的芯片制造工艺-由于EUV系统具有更高的分辨率,一次曝光和工艺步骤可以取代几次曝光和更少的工艺步骤来对芯片进行图案化。根据IMEC进行的一项研究1有了EUV,一些关键层的非光刻加工步骤可以减少到原来的1/3到1/5--这大大缩短了生产周期。由于沉积、蚀刻和清洁步骤的数量较少,制造厂还受益于减少能源和水的使用。
随着我们的EUV系统生产率的提高--这使得我们可以更快地制造更先进、更节能的微芯片--因此,与使用DUV的复杂多图案策略相比,使用EUV光刻每个晶片的总图案化过程的能耗将更低。
我们的下一代EUV系统EUV 0.55 NA(High-NA)将进一步缩小并部分消除双重曝光计划,再次用单一0.55 NA曝光取代多次0.33 NA曝光。因此,利用EUV 0.55 nA,可以再次减少非光刻处理步骤的数量。这将有效地进一步限制每片晶片的图案化工艺的总能耗。
1资料来源:M.Garcia Bardon等人,DTCO包括可持续性:电力-性能-面积-成本-环境得分(PPACE)分析,逻辑技术,IEDM2020
气候和能源关键绩效指标
下表显示了关键绩效指标(KPI)和相关的2025年目标。更多内容请参阅:非财务报表-非财务指标-我们业绩指标(PI)的气候和能源及相关结果。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。
| | | | | | | | | | | | | | |
关键绩效指标 | 2019 | 2020 | 2021 | 2025年目标 |
系统能效nxe:3x001 | | | | |
系统 | - | NXE:3400C | NXE:3600D | |
能源消耗(减少的百分比为2018年基线) | - | -6 | % | -6 | % | 较2018年基线减少10%(1.40 MW) |
输出(WPH) | - | 136 | 160 | |
每次曝光的芯片行程的能源消耗(2018年基线的减少%) | - | -26 | % | -37 | % | 较2018年基线减少60%(13.1 kWh) |
每年华夫饼 | - | 1,191,360 | 1,401,600 | |
| | | | |
可再生电力(占总购电量) | 97 | % | 100 | % | 92 | % | 100 | % |
可再生能源属性(单位:吨) | 137 | 140 | 145 | |
按地点分类消耗的化石燃料(以TJ计)2 | | | | |
Veldhoven | 159 | 141 | 184 | |
威尔顿 | 111 | 112 | 127 | |
林口 | 0 | 0 | 0 | |
圣地亚哥 | 46 | 40 | 43 | |
圣何塞 | 0 | 0 | 5 | |
台南 | 0 | 0 | 0 | |
其他 | 0 | 0 | 8 | |
总计 | 316 | 293 | 367 | |
| | | | |
公司2足迹(单位:KT)-毛 3 | 2019 | 2020 | 2021 | 2025年目标 |
范围1 -我们运营中化石燃料的直接排放 | 16.9 | 15.4 | 19.3 | |
范围2 -能源消耗的间接排放 | 141.4 | 139.8 | 165.1 | |
范围3 -总价值链的间接排放 | 6,500.0 | 8,400.0 | 8,800.0 | |
总足迹(单位:KT)-毛 | 6,658.3 | 8,555.2 | 8,984.4 | |
| | | | |
公司2占地面积(单位:KT)-净 3 | 2019 | 2020 | 2021 | 2025年目标 |
范围1 -我们运营中化石燃料的直接排放 | 16.9 | 15.4 | 19.3 | 净零 |
范围2 -能源消耗的间接排放 | 5.3 | 0 | 20.1 | 净零 |
范围3 -总价值链的间接排放 | 6,500.0 | 8,400.0 | 8,800.0 | 从基线降低强度率 |
总足迹(单位:KT)-净 | 6,522.2 | 8,415.4 | 8,839.4 | |
1.系统能效根据SEMI S23标准进行测量,并扩展到我们系统的100%生产率。
2.自2021年以来,圣何塞、泰南和“其他”一直在该指标的范围内。“其他”包括FTE总和超过250人的地点。
3.《温室气体议定书》的指导意见——该组织提供了广泛使用的排放报告国际标准——用于计算排放范围。基于市场的换算系数用于计算范围1和范围2 CO2排放量单位为KT。
为联合国可持续发展目标做出贡献
本章描述的我们的雄心、承诺和计划有助于实现以下可持续发展目标。 有关业绩的更多信息,请阅读更多内容:非财务报表-非财务指标-气候和能源。
| | | | | |
SDG目标 | 我们如何衡量业绩 |
可持续发展目标13.1--加强各国对与气候有关的灾害和自然灾害的复原力和适应能力
| •我们产品的能效是按每道晶圆来衡量的 •可再生电力战略 •范围1和范围2排放 •优化房地产,提高能效 |
我们致力于循环经济,并确保我们使用的任何材料为我们和我们生态系统中的合作伙伴保留和创造尽可能多的价值。为了最大限度地减少浪费和最大限度地利用资源,我们专注于三个核心战略:
•在我们的运营中减少浪费
•重复使用安装底座中的部件和材料
•通过翻新回收成熟产品
我们圆形方法的基石是我们产品的模块化设计。它使我们能够在客户现场将系统升级到更高的性能级别,而不必更换整个产品。在最先进的芯片制造工厂使用系统后,我们可以通过翻新系统来进一步延长产品的寿命,将其重新用于其他客户和半导体环境。由于我们的方法,我们在整个产品组合中销售的近94%的光刻系统仍在客户现场使用,这突显了我们为循环经济做出贡献的能力。
我们的循环经济方式
减少运营中的浪费
在我们的行动中,主要的废物来源是:
•非危险废物,如包装材料、因升级或缺陷而产生的部件产生的与产品相关的废物以及一般废物。这一类别还包括建筑活动产生的建筑垃圾。
•危险废物,例如我们在制造过程中使用的化学品
我们为自己设定了两个目标,以减少我们的浪费足迹。第一个目标是在2025年将我们的浪费强度-每欧元百万收入产生的垃圾量-比基准年2019年降低50%。第二个目标是到2025年将我们的材料回收比例提高到85%。这些目标包括危险废物和非危险废物。
为了实现这些目标,我们正专注于循环采购,提高整个公司的意识,实施(流程)效率和改进项目,并支持员工的倡议。我们优先考虑尽可能减少、再利用和回收我们的废物的解决方案,而不是将其送往焚烧厂或垃圾填埋场。
我们的成果和进展
管理我们运营中的废物是一个复杂的问题,有赖于对进出ASML的废物流有详细和准确的了解。我们通过适当的分类、分类和安全处理来管理我们的废物。尽管我们已经制定了监控和测量离开我们场所的废物的程序,但要洞察我们客户的废物流要困难得多。
2021年,我们在现场的活动中产生了5878吨垃圾,其中77%被回收(2020年为85%)。与2020年相比,垃圾总量增加了近12%(从5,257吨),这主要是由于我们的报告范围从2020年的20个地点增加到2021年的57个地点,以及公司的增长。需要确定和实施扩大范围的废物减少方案,目标是2022年。
非危险废物
到2021年,非危险废物占我们总废物的93%(5483吨),其中绝大多数通过回收利用。我们通过几个正在进行的计划减少了非危险废物,例如:
•循环IT生命周期:经过四年的使用,我们给了所有功能正常的计算机和笔记本电脑第二次生命。对于有缺陷的计算机,我们回收干净的、分离的回收塑料、铁、钢、铜、铝、玻璃和贵金属。这导致了超过30,000公斤的材料回收,与2020年的24,000公斤相比大幅增长了25%。
•灵活的洁净室:这些洁净室可以在不同位置之间移动并快速组装,同时提供与我们目前固定的洁净室相同的标准和性能。在灵活的洁净室设置中使用的材料中,超过95%的材料可重复使用,使用寿命超过30年。2021年,我们对五个服务仓库使用了灵活的洁净室概念。
•其他例子包括由我们的员工发起的本地减少废物倡议,例如塑料回收和在洁净室使用可重复使用的手套。
•建筑垃圾:在我们扩大业务的同时,我们努力确保建筑活动产生的垃圾尽可能得到回收利用。建筑废物占2021年产生的废物总量的3%(199吨)(2020年为4%),其中85%被回收利用。2021年,我们在维尔德霍温园区增加了三个工作中心和一个物流仓库。在我们的房地产投资组合管理中,我们应用了BREEAM标准,强调通过材料的循环使用实现可持续性。例如,几乎所有来自被拆除的洒水盆的材料都在我们的新建筑中重复使用,我们将旧的洁净室套装回收到会议室的隔音墙板中。
危险废物
为了生产和运营我们的产品和系统,我们需要使用危险物质。2021年,危险废物占我们产生的废物总量的近7%(395吨)。其中,近88%被回收利用。危险废物可能包括灯具、电池、危险液体、危险材料的空包装以及清洁湿巾和过滤器。液体,包括丙酮和硫酸,是我们危险废物的主要来源。
有害物质的使用使我们受到与环境保护(以及员工和产品的健康和安全)相关的各种政府法规的约束。其中包括危险物质的运输、使用、储存、排放、搬运、排放、产生和处置。
重复使用客户群中的部件和材料
我们致力于在我们的价值链中尽可能重复使用系统部件、工具、包装和其他材料,以减少和防止浪费并降低成本。我们相信,对价值链中的所有人来说,再利用都是一个学习的机会,因此我们与客户和供应商在这方面密切合作。我们的目标是到2025年将ASML工厂和现场的有缺陷零件的重复使用率提高到95%。
为了实现这一雄心壮志,我们将重点放在:
•在开发的早期阶段通过更坚固和可修复的设计进行重复使用的设计
•向客户退回运输包装和发货材料的重复使用
•在当地维修中心进行维修,通过缩短根本原因分析和维修的周期时间来提高部件维修产量
•重新制造从现场返回到新质量的模块和部件
•通过拆卸回收报废部件以重用子部件
2021年的进展和成果
我们加快了重新利用的努力,使这一进程的许多部分正规化和结构化。我们的再使用委员会由我们的首席运营官和首席技术官担任主席,签署了一项现场维修战略,在我们当地维修中心的推动下,在可能的情况下促进当地供应链中部件的维修。我们将我们的重复使用政策扩展到所有与产品相关的包装、部件、材料和工具,并创建了一个专门的跨行业重复使用部门,以在全球范围内推动这一变化。无论从现场返回的部件是正常运行的、有缺陷的还是未使用的,我们都在努力使它们在与新部件一样好或比新更好的情况下重新投入使用。
我们通过加强供应商可持续发展计划,进一步落实我们的重复使用承诺。 更多内容请阅读:我们在2021年的表现-社会-我们的供应链。
我们已经开始对NXE:3400 EUV系统进行生命周期评估,以获得设计、开发和制造碳足迹更低的光刻系统的相关见解。在这次评估中,我们应用了生命周期评估模型来计算废物和减少废物活动的影响,该模型是我们在2020年开发的。我们计划在2022年对我们的NXT和EXE光刻系统进行类似的评估。
2021年,我们缺陷部件的重复使用率为85%(2020年约为86%)。
通过回收节省材料
我们在美国圣地亚哥的回收计划专注于重复使用不断流动的退回部件。该计划包括回收设计,提高重新使用和修复组件的能力,以进一步增加部件和材料的循环度,以便它们可以重新用于备件或合并到新的系统构建中。这个项目已经成功运行了十多年。2021年,我们实现节材37.5万公斤以上。
可重复使用的设计
2021年,我们将重复使用纳入我们的产品生成流程(PGP),作为防止浪费的关键要素,这将帮助我们实现长期目标。我们的可重复使用设计方法包含五个要素--可靠性、可访问性、可替换性、可维修性和可再制造性--以实现部件在整个产品生命周期中的重复使用。这意味着重复使用要求现在是产品设计策略和规范的一部分。例如,通过我们产品及其部件的模块化设计,我们确保未来的升级、耐磨部件和部件可以作为一个单元进行更换。通过设计部件的共性,它可以在产品的多个环境中使用,甚至可以在未来的产品代中使用。
2021年,再利用部门的重点是将再利用嵌入到我们的新产品实施(NPI)计划中,并在我们的‘反向流动’(从现场返回给我们或我们的供应商的材料)中推动废物减少。继续努力解决重复使用执行中的瓶颈问题,并澄清整个业务的方向、指导方针和“重复使用规则”。我们还在寻求进一步完善我们的废物报告数据。
运输材料再利用退还
当模块和系统发货时,无论是从我们的供应商到我们的工厂,还是从我们的工厂到我们的客户,都需要使用许多运输材料,如包装、锁定和部件,以确保产品安全到达。这些所谓的辅助部件(插头、盖子、夹子、盖板、法兰、辅助支架等)在到达时被移走。这些垃圾不是扔掉,而是在使用级别(最高级别的重用)重新使用,从而防止它们最终成为垃圾。在将这些部件送回重新使用之前,它们会经过识别过程和质量检查,然后是将它们卖回给原始模块供应商或ASML所需的物流和财务流程。
我们正在改进来自现场和工厂的包装、锁定和运输材料的重复使用,目标是在下一次安装或搬迁时退回和重复使用80%或更多。2021年,超过4300吨运输材料被重复使用,高于2020年的近4000吨。
维修中心
我们正在扩大服务部件和材料的本地维修中心,并建立全球工厂材料维修中心。目前在韩国、台湾和中国都有当地的维修中心,并计划让我们所有的客户地区最终都有一个或多个维修中心。我们还将在威尔顿和圣地亚哥(美国)、林口(台湾)和维尔德霍温(荷兰)的每个工厂中心建立全球维修中心。
通过支持维修和重复使用活动,并取得现场维修的所有权,我们能够减少物流时间、零部件库存和对环境的影响。
再制造“如新”质量
当一个部件被重新使用时,我们的客户希望它与原来的新部件一样好,甚至更好。我们为“新”零件设定了高质量标准,并期望供应商参与进来,以达到这些标准。此鉴定标准和要求与新部件的鉴定标准和要求相同,这意味着适用相同的规格、性能要求、保修等。
我们现在有超过25家供应商正在进行的75个‘As-New’版本项目。我们的目标是在我们的系统中增加AS-新模块的使用,以防止功能良好的部件和模块被不必要的报废。
重复使用挑战和路线图
我们在再利用方面取得了良好的进展,并致力于继续减少废流。建立一种重复使用的思维模式,并将其应用于正常的工作方式,对于实现重复使用和防止报废至关重要。例如,通过将工厂中的废纸箱替换为我们现在所称的“再利用回收角”,我们鼓励员工将用过的部件视为有潜力的,而不是被视为废物。
然而,要完全嵌入我们的重用愿景,有几个挑战需要克服,还有一些流程需要定义。这些措施包括:
•配置控制:在系统中重新使用新部件需要这些部件的可追溯性。这意味着我们需要能够追踪它的历史,它来自哪里,并知道它被使用和修复了多少次。
•组织:在我们的运营中,有各种与退货和重复使用相关的独立流程。我们需要将这些与整个端到端重用流程流保持一致。
•维修工程和流程:我们新重点的一部分是创建可重复使用设计的意识,并定义有关如何在重新设计和工程更改中包括重用的流程。
2021年,在配置控制下,我们通过提高部件的可追溯性降低了我们所说的“生命周期中断”的风险。我们打算在2022年第二季度末完成这一改进,解决我们目前4%的部件中存在的生命周期中断问题。我们还提供了一些新的重复使用执行流程,例如‘在供应商收获’,使我们能够向供应商发送采购订单,以获取嵌入到我们的采购和物流流程中的部件。
作为下一步,我们定义了五个优先事项。这些措施包括新产品之前的再使用规划、供应商再使用激励和自主性、高质量的逆向物流、在我们的产品生成流程(PGP)中进一步嵌入再使用,以及在ASML和供应商之间发起再使用变更和沟通活动。
通过翻新回收成熟产品
一个维护良好的ASML光刻系统可以持续数十年,并可供多家工厂使用。许多ASML光刻系统从尖端的制造厂开始--一旦制造厂需要升级,光刻系统就会在制造商需要相对不那么复杂的芯片(如加速计或射频芯片)的制造厂获得新的生命。
我们成熟的产品和服务(MPS)业务专注于以下产品系列的翻新:PAS 5500(在全球客户地点约有1800个系统)、TWINSCAN XT系统,以及截至2021年的NXT:1950-1980系统。
我们的翻新战略侧重于回购不能在现场运行的系统,从退役系统中收集部件,并管理备件的持续可用性,这是我们为系统提供延长生命周期服务的关键。我们为我们的客户提供至少到2030年的保证服务路线图。这意味着,他们维护系统所需的所有支持以及必要的服务和备件预计将至少在2030年及以后可用。
对于仍在运行的TWINSCAN AT系统,我们将重点放在采取措施,通过尽最大努力确保尽可能长时间地提供备件,来主动管理其寿命结束。
我们在2021年的表现和进展
ASML的PAS5500平台在推出30年后仍然生机勃勃。目前,我们曾经建造的PAS 5500系统中有90%仍在使用,无论是作为翻新工具还是在其原始配置中。PAS平台被用于广泛的利基应用,从传感器到功率芯片,甚至是改变生活的植入式医疗设备。
到2021年,我们已经翻新和转售了500多套光刻系统。2021年,我们庆祝了翻新的TWINSCAN第100次,这也是我们的TWINSCAN翻新计划20周年。
新挑战-翻新和升级第一代NXT
2021年,成熟的产品和服务(MPS)业务线开始面临新的挑战,除了PAS 5500和XT系统外,还将翻新和升级第一代NXT光刻机。随着NXT平台确立了其作为半导体行业主力的地位,仍有200多个第一代NXT在世界各地的客户现场进行生产。
为了支持半导体制造能力的急剧增长,特别是在要求不那么先进的超过摩尔的市场,ASML回购这些系统,将它们翻新成新一代系统的规格,并将它们出售给不需要更先进机器提供的规格的客户。这使客户能够购买价格诱人的工具,该工具将支持他们所需的拥有成本目标,同时有助于ASML最大限度地减少浪费和最大化资源的承诺。
确保部件的可用性
我们正在进行大量投资,以确保我们的PAS平台继续供应超过2,000个服务部件,无论是通过重新设计、部件收集战略,还是通过寻找具有相同形状、贴合和功能的替代方案。如果这不起作用,我们通常能够通过Last Time Buy确保零部件的安全--供应商在将生产切换到后续产品之前对某个部件或部件进行的最后一次调用。随着时间的推移,当部件不再可用时,我们会重新设计部件。
我们跟踪我们的产品组合中的备件,看看它们是如何使用的,并确定我们预计何时会用完这些备件。对于PAS系统,我们使用此信息来更新重新设计部件的优先级。对于AT系统,我们试图通过从客户退役的系统中获取部件来继续供应部件。
为了确保下一个十年的备件供应,我们需要用基于最先进技术的部件取代许多用1980年代和1990年代的技术设计的不可用部件。这涉及到对这些部件进行彻底检修。在接下来的几年里,我们已经确定并计划执行近300个部件的100多个重新设计项目。这与电子零部件尤其相关,因为电子零部件的技术发展速度比其他任何领域都要快。
MPS客户门户在亚洲推出
基于Web的部件订购门户对成熟产品和服务(MPS)的业务模式起到了重要作用,在控制成本的同时提供最佳的客户体验。2021年6月,继在美国和欧洲取得成功后,在线MPS客户门户网站在亚洲上线。
该门户旨在促进ASML的可计费和批量部件合同(VPC)部件销售。与以地区枢纽为基础的物流服务相结合,它为我们的客户创造了一个高效而有价值的销售渠道,最大限度地减少了手动步骤和潜在的延误。根据地点的不同,客户可能会在几天内送货,甚至--如果是台湾和韩国的加急订单--几个小时内就会送到。
循环经济关键绩效指标
下表显示了关键绩效指标(KPI)和相关的2025年目标。更多内容请参阅:非财务报表-非财务指标-我们业绩指标(PI)和相关结果的循环经济。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。
| | | | | | | | | | | | | | |
关键绩效指标 | 2019 | 2020 | 2021 | 2025年目标 |
产生的垃圾总量归一化为收入(千克/百万欧元)1 | 417 | 360 | 305 | -2019年基线的50% |
材料回收(占总废物的百分比)1 | 80 | % | 85 | % | 77 | % | 85 | % |
已售出仍在使用的ASML PAS5500系统(单位:%)2 | 90 | % | 90 | % | 90 | % | 不适用 |
重复使用部件的价值(欧元,百万) | 不适用 | 1,151 | 1,236 | |
1.这一指标的计算不包括建筑废物,因为这种废物不是反兴奋剂机构的日常运作造成的。建筑垃圾的数量多年来往往是波动的,因此可能会使该指标的趋势不明朗。
2.由于2020年的定义更改,KPI以销售的PAS5500系统为基础。对于其他考绩制度,无法确定使用状况,主要是因为服务合同已经终止。
为联合国可持续发展目标做出贡献
本章描述的我们的雄心、承诺和计划有助于实现以下可持续发展目标。 有关业绩的更多信息,请参阅:非财务报表-非财务指标-循环经济。
| | | | | |
SDG目标 | 我们如何衡量业绩 |
可持续发展目标12.2--到2030年,实现自然资源的可持续管理和高效利用 | •材料回收 •推行循环采购 |
可持续发展目标12.4--到2020年,根据商定的国际框架,在化学品和所有废物的整个生命周期内实现对化学品和所有废物的无害环境管理,并大幅减少其向空气、水和土壤的排放,以尽量减少其对人类健康和环境的不利影响 | •所用部件的RoHS/REACH合规性 |
可持续发展目标12.5--到2030年,通过预防、减少、回收和再利用大幅减少废物产生 | •减少废物 •提高我们产品中部件和模块的重复使用率 •延长旧系统的使用寿命 •包装的再利用 |
如果没有我们敬业、多元化和高能力的劳动力,突破技术的极限是不可能的。我们的员工对我们组织的业绩和我们作为一家公司的长期成功至关重要。除了努力吸引世界顶尖人才外,我们还需要专注于帮助他们充分发挥潜力,在一个他们为我们工作感到自豪并与我们作为一家公司的雄心壮志打交道的环境中。
我们在ASML继续经历着强劲的增长。在过去的五年里,我们的员工人数几乎翻了一番。尽管疫情仍在继续,但我们在2021年度过了不平凡的一年,员工数量(全时当量)增长了16%以上,收入增长了30%以上,产品产量增长了20%以上。这种快速增长也带来了挑战。我们的组织变得更加复杂,我们的员工队伍更加多样化,我们客户和利益相关者的期望也在不断增长。
我们的人民愿景
我们不断增长的劳动力的需求正在发生变化,这需要一个环境和工具来支持更多样化和相互依存的团队中的协作、知识共享和自主权。与此同时,我们还必须继续履行我们对利益攸关方的承诺,管理我们的日常挑战,以吸引、加入、发展和留住我们的人才。
我们已经通过阐明我们的目标、愿景、使命、价值观和领导期望奠定了坚实的基础。为了在未来保持成功,我们研究了我们的优势如何转化为我们目前的现实。因此,我们将我们的员工愿景定义为:我们相互赋能,繁荣发展,推动我们的增长、幸福和商业成功。ASML的人的愿景阐述了我们对未来的雄心,支持我们的价值观和我们所代表的东西。在这一愿景中,整个组织的每个人都扮演着重要的角色。
我们实现长期员工愿景的途径体现在我们的员工战略中。未来五年,我们的路线图将重点放在三个关键领域:
•激励统一的文化,以我们的价值观为指南针,指导我们的决策和行为,以实现我们的战略
•提供最好的员工体验,使我们能够吸引、发展和留住最优秀的人才
•通过信任、赋权和问责,使我们的领导力能够发挥人的最大潜能
通过与企业的日常密切合作,我们推动了几个关键计划,旨在为员工提供更多自主权来指导他们的发展和职业抱负,并使我们的领导人能够支持公司的发展。
统一文化
我们比以往任何时候都更需要注意将ASML的身份深深扎根于组织中,帮助我们的员工接受我们的价值观,并提供一个统一的方向,以熟悉我们的公司战略和目标。
我们的公司价值观--挑战、协作和关怀--确保我们所有人都在共同理解的基础上工作,这种基础可以应用于整个组织,帮助我们做出让我们忠于自己的选择。它们还允许团队讨论这些值重叠的自然摩擦区域。例如,通过确保让我们走到这一步的创始人的特质(坚持不懈、‘能做’的心态和相信一切皆有可能)与适当的谨慎程度相平衡。嵌入我们的价值观是一个持续的旅程,但我们的目标是通过每天应用这些价值观来取得成功。
在我们核心价值观的基础上,我们应用六项员工原则--明确和负责、持续学习、包容、有利环境、个人成长和信任--来指导和激励我们的员工决策,以充分发挥员工的最大潜能。
我们的进步
除了早些时候部署的使我们的价值观在现在和未来变得有形的持续举措外,我们还在2021年启动了“将价值观付诸行动”计划。与以往的独立年度活动,如“祝你安全”、“道德周”、“可持续发展周”和“志愿者博览会”不同,我们制定了一个持续的计划,包括一系列活动,通过环境、社会和治理(ESG)主题的镜头探索价值观。在每一次活动中,我们都要求我们的高级领导人概述他们的计划、雄心和承诺,以确保我们践行我们的价值观。
2021年,我们围绕心理健康安全、ASML基金会、5条救生规则、畅所欲言和绿色能源等主题,开展了多项《价值观在行动》活动。
员工体验
我们相信,多元化和包容性的员工队伍提供了创新和推动业务发展所需的各种声音和观点的必要组合。我们培育了一种文化,在这种文化中,不同的身份、背景、才华和激情受到重视和赞扬。因此,我们希望在我们的所有站点为员工提供尽可能好的员工体验,使他们能够发展自己的才华,感受到尊重并尽其所能地工作,并使我们能够吸引和留住最优秀的人才。
员工体验是在员工生命周期的每个阶段,从吸引人才、入职到自然流失,员工通过与公司互动而获得的所有体验的总和。为此,我们专注于雇主品牌和员工敬业度。
员工敬业度取决于各种各样的因素和活动,例如人才的吸引和留住、入职经验、学习和发展、多样性和包容性、公平薪酬和劳动条件等劳动实践以及领导力。这些计划对整体员工体验的总体影响通过我们的WE@ASML员工敬业度调查来衡量。
雇主品牌塑造
随着对顶级人才的需求逐年增加,雇主品牌化是确保ASML获得这一人才份额的重要战略。我们的强劲增长意味着我们需要雇佣大量员工。具有技术背景的高技能人才在劳动力市场上稀缺,竞争日益激烈。我们看到,顶尖人才选择他们选择的雇主,而不是反过来。这是员工选择未来雇主的一般发展过程,对员工来说,潜在雇主拥有合适的价值主张是很重要的。
我们将招聘视为一个持续的过程,并不断寻求改进和专业化我们进行招聘的方式。我们利用这些信息来微调我们的目标受众和招聘工作。
我们的表现和进步
我们根据我们的主要经营地点--荷兰、美国、中国、台湾和韩国--来衡量我们的雇主品牌。我们通过监测我们在一个独立的外部雇主品牌排名中的位置来衡量ASML在外部受众--尤其是潜在员工--中的看法。我们已经为不同的当地劳动力市场制定了到2025年的定位目标。我们继续在我们的公司网站上改善我们的雇主品牌和价值观,让人们更好地了解我们作为雇主所做的事情和我们所代表的立场。
与2020年相比,2021年,除了美国以外,几乎所有主要地区都出现了良好的改善,这可以从受访者在学习领域、大学和地理位置方面的组合来解释。然而,我们在美国的业务被列入了《新闻周刊》与最佳实践研究所(BPI)合作的2021年最受欢迎工作场所100强排行榜。该排行榜直接关注员工对雇主的好感程度。我们很高兴获得这一认可,因为我们努力为员工创造尽可能好的员工体验。 阅读更多内容:我们的员工KPI
2021年,旅行和大型团体聚会的限制限制了我们与未来人才面对面交流的能力。各种计划的活动要么被推迟,要么被改编成虚拟空间。互联网比以往任何时候都更是交流的最佳平台。我们的劳动力市场沟通团队正在不断努力,优化我们在网上接触、告知和吸引目标受众的方式。为了充分利用招聘工作,我们为职位发布提供便利,并管理ASML在在线社交网络渠道上的存在。我们还通过在线广告宣传ASML雇主品牌。
在台湾促进招聘和分享创新
ASML继续扩大在台湾的业务,为不断增长的客户群提供最佳的支持服务,并优化测量和检验产品的研发支持能力。为了实现ASML雄心勃勃的路线图,从市场中吸引最优秀的人才至关重要。
2021年3月和4月,ASML的创新体验卡车在台湾主要大学校园的巡回旅行中上路,以促进工程师的招聘,扩大我们的客户和研究支持团队的能力。通过增强现实技术和互动体验,未来的工程师可以熟悉先进的光刻技术和高科技的EUV光刻机。
员工敬业度
员工敬业度对我们组织的业绩和公司的长期成功至关重要。我们使用WE@ASML员工敬业度调查来衡量我们的活动对整体员工体验的总体影响。
We@ASML调查
我们的年度WE@ASML调查是收集和衡量员工反馈的重要工具。它提供了洞察力,使我们能够改善员工体验,并在我们的政策和流程上工作。我们为自己设定的目标是实现员工敬业度得分至少与同行持平。
在整个新冠肺炎疫情期间,阿斯麦的员工为继续我们的业务、服务我们的客户和确保我们的路线图做出了令人钦佩的工作。我们知道他们经历了流行病疲劳症、混合工作和我们员工基础的快速增长以及客户需求不断增加的压力,我们预计这将影响我们的员工敬业度得分。
为了了解这些影响,并让我们能够制定改善行动,2021年的调查提出了更多关于幸福感主题的问题。为了衡量我们的价值观在组织中的根深蒂固程度,调查还包括了关于我们的文化和价值观的问题,这些问题超出了“什么”到“如何”的范围。
我们的表现和进步
我们成功地在充满挑战的环境中创造了一个积极的工作环境,但在我们的关键改进领域并没有取得可衡量的进展。
在我们的2021年We@ASML员工敬业度调查中,我们再次看到了良好的结果,并收到了宝贵的改进反馈。2021年参与度调查得分为78%(2020年为80%),比我们76%的外部全球基准高出2个百分点。总体而言,我们得出的结论是,ASML仍然拥有高度参与度的人群。人们为为ASML工作而感到自豪。其他我们得分较高的领域是,例如,良好的工作环境,良好的团队精神,尊重和开放的沟通,以及学习和成长的机会。然而,正如预期的那样,由于2021年的动态,参与度分数下降了。制定防止经济进一步下滑的行动计划是我们的当务之急。
尽管我们不断关注和执行改进行动,但我们仍然看到2020年和2019年调查的三个领域,即:支持流程、跨团队协作和预期的清晰度,因为我们的得分仍远低于外部基准。2021年的结果也表明,我们需要更加关注福祉。解决这四个领域是我们2022年的重点工作。
吸引和留住人才
我们在2021年雇佣了4373名新的薪资员工,使我们的员工人数在年底增加到30842 FTE。与2015年底雇佣的14,681名全职员工相比,我们的员工数量增加了一倍多。
虽然自然减员可能会打开公司的知识缺口,但我们也将其视为引进新人才和提高现有人才的机会。我们努力保持健康的流失率(员工离职的百分比),目标是每年的流失率为3.0-8.0%。对于高绩效员工,我们的目标是员工流失率至少比总流失率目标低50%。
2021年,我们的整体流失率为5.4%,高绩效员工的流失率为2.6%,两者都在我们的目标范围内,低于我们运营的每个国家的行业平均水平。2021年,员工流失率从2020年的3.8%上升至5.4%,这一年受到新冠肺炎疫情的影响,当时人们不太倾向于寻找其他工作。我们将这一增长归因于疫情的影响,许多行业的全球员工短缺,以及提供大量就业机会的蓬勃发展的半导体行业。尽管如此,我们认为,我们为创造独特的员工体验所做的努力、我们的员工敬业度计划以及新员工的入职培训都得到了回报。
上图中2020年和2021年的FTE不包括通过收购柏林Glas(ASML柏林GmbH)获得的FTE。 入职
随着我们的全球劳动力呈指数级增长,入职是我们的关键优先事项之一。2021年,我们迎来了3万名员工。积极的入职体验建立了一种连接感,帮助员工快速适应,并提高了员工的忠诚度。我们相信,入职是一项共同的努力,由每个人推动。
随着2021年新冠肺炎疫情的持续,我们的新员工入职仍然是虚拟的,为新同事提供尽可能好的开端。例如,ASML入职活动是人力资源部组织的半天介绍活动,目的是让新同事感到受欢迎,了解更多关于ASML的知识,并与其他新同事建立联系。在小组中,新同事一起工作,了解ASML的产品、技术、组织、客户和计划。业务部门和职能部门继续建立在我们的全球入职计划基础上,确保我们在整个公司提供一致的体验,进一步为不同的部门量身定做。
为了衡量新员工如何评价他们的入职体验,我们在他们入职过程的每个阶段进行了脉搏调查,从感到受欢迎、参与、装备到感觉到ASML的一部分。平均而言,89%的新员工表示他们有积极的经历。他们也认为在入职期间从经理那里得到的支持是非常积极的。我们感到自豪的是,我们的经理采取了额外的努力,以确保在远程工作时获得积极的入职体验。
学习与发展
在一个创新、高科技、快速变化的行业中,加强并持续投资我们的人才库,以预测不断变化的业务要求和劳动力市场的发展至关重要。我们让员工能够发展才华、追求职业抱负并茁壮成长。我们坚信,当我们的员工能够投资于自己时,个人发展就会发挥最好的作用。在ASML,我们为员工提供时间、机会和支持,同时他们投入所需的努力、热情和动力来促进自己的发展。我们提供量身定制的培训和发展计划,以帮助培养我们在ASML雇用的高技能专业人士。
培训
为了保持我们的技术领先地位和创新步伐,我们需要确保我们的员工在正确的时间获得正确的知识。为了做到这一点,我们拥有自己的内部技术开发中心,为我们的研发、客户支持和制造员工量身定做培训,以满足这些部门的特定技术需求。
鉴于我们协作创新业务的性质,我们的大部分培训都是在工作中进行的。总体而言,我们正在推广70-20-10学习干预方法,这意味着70%是在职学习,20%是通过教练学习,10%是通过培训课程学习。2021年,包括发展计划在内的最后一个类别的平均培训小时数为每FTE 29小时。
2021年,我们继续在可能的情况下增加虚拟培训。我们不得不推迟一些发展活动,因为需要把不同的部门和国家聚集在一起,这些活动具有很强的联网成分。由于旅行限制和不同时区,这些活动是不可行的。此外,我们继续致力于重新设计具体的发展计划,以在远程培训和面对面培训之间建立有效的组合,将来自不同地点的人聚集在一起,并使培训更易于在线接受。
职业发展机会
我们正在不断探索如何改进我们如何帮助员工在ASML内寻找职业发展机会。我们提供各种职业道路,并有各种工具来支持我们的员工的职业导航。
两年前,我们开始讨论和思考我们的绩效管理方法和理念如何更好地与我们的文化和价值观保持一致。这构成了对公司绩效管理未来的更广泛展望的一部分。与我们的执行委员会一起,我们开始定义如何更根本地做到这一点。2021年,我们努力重塑绩效管理流程,并将其嵌入到新工具中,该工具于2022年1月上线。
多样性和包容性
我们为成为一个文化多元化的组织而感到自豪,员工来自122不同的国籍。多样性和包容性增强了我们的创新能力、创造性和解决问题的能力,并提供了一个让员工感受到价值、挑战专业成长并为我们的共同目标做出贡献的环境。
自2020年以来,我们一直在制定和正规化我们的多样性和包容性方法。我们在2021年成立了全球多样性与包容理事会,该理事会由代表ASML采取行动的高级领导人组成,提供思想领导。理事会由管理委员会的一名成员担任主席,向管理委员会提出多样性和包容性战略,制定、促进和监测多样性和包容性倡议,并推动全公司对其目标的问责。
我们的多元化和包容性战略包括以下内容:
•通过让机会更可见和更容易获得来吸引更多的人才库
•创建共享指标以更清晰地评估进度
•确保包容性领导行为植根于我们的文化
•在我们的人才实践中纳入不同的视角
•为员工提供更多参与和推动其职业生涯的方式
我们的目标是成为现有熟练劳动力的代表。创造一种环境,让所有人都感到受欢迎,知道自己的归属感,并看到摆在他们面前的职业道路,这需要组织各级的多样性。
我们的目标是通过培养一种包容所有人的文化来增加我们劳动力的多样性。我们的员工调查@ASML每年都会衡量包容程度。2021年,我们的纳入得分为83%,而全球表现最好的公司的这一比例为82%。我们的目标是在持续的基础上达到或提高员工的包容性。要做到这一点,我们设定的目标是在2024年与这份比较公司名单中排名前25%的公司取得+/-3%的平杆得分。
2021年,我们在全体员工和高级管理人员的性别多元化方面取得了进展。女性员工现在占我们全球员工总数的18%。与去年相比,这一改善增加了1%。我们的目标是在迈向2024年的过程中加强这一趋势。
我们认为,解决这一问题的最有效方法是专注于我们现有团队成员的增长,并扩大我们人才库的多样性。我们已经制定了目标,到2024年将女性的招聘比例从2021年的20%提高到23%。
我们在这一领域仍有工作要做,并已制定了侧重于女性领导层的具体目标。目前女性在这一级别的比例为8%,我们的目标是到2024年达到12%。为了实现这一目标,我们制定了一个目标,将女性领导者的招聘比例从2021年的12%提高到2024年的20%。我们相信,这些人才库将成为榜样,为更多人铺平道路。我们的雄心是让我们的员工队伍更加多样化,因为我们相信这是吸引和留住聪明人才的最佳方式之一,以帮助我们推动技术创新,以满足客户的需求。
总体而言,全球STEM(科学、技术、工程和数学)人才库稀缺,招聘女性人才更具挑战性。我们的研发人员中有15%是女性。近90%的工作岗位与STEM相关,而高科技行业的同行有更多样化的、与STEM无关的工作岗位。ASML非常有动力看到更多的女性现在和未来在工程和科学领域追求职业生涯。我们行业的高度专业化意味着实现这种平衡是一个长期的过程。我们正在积极参与多个教育项目,以扩大渠道,部署多项倡议,在未来的女性人才库中促进STEM教育,并继续营造一个使我们当前的劳动力能够蓬勃发展的环境。
在美国取得的成就
ASML美国多元化理事会成立于2020年,是一个顾问委员会,负责管理多元化和包容性(D&I)计划,如员工网络、多元化活动以及全美各地的认可和教育计划。2021年,多样性活动和教育工作流程以及美国多样性理事会赞助了许多外部演讲者,以提高人们对具有重要文化意义的节日和庆祝活动的更广泛认识和了解,包括黑人历史月、骄傲月、拉美裔传统月和退伍军人节。超过3,000名员工累计参加了超过15项多元化活动。理事会还支持在美国发展两个新的员工网络:黑人、土著和有色人种阴影(BIPOC)及其盟友,以及一个新的退伍军人团体。
公平的报酬
我们希望我们的薪酬公平和平衡。在我们的薪酬政策中,我们致力于性别平等,我们努力实现全球一致性,同时尊重当地市场的普遍做法。我们不断审查我们的薪酬与我们运营的每个地区的技术专业人员的市场基准相比如何,并在必要时改变我们的薪酬政策和水平。每年,我们都会分析薪资中的性别差异。2021年,和前几年一样,我们发现这些工资没有太大差异。更多内容请参阅:非财务报表-非财务指标-我们的员工。
最低生活工资
在ASML,我们致力于满足适当的生活工资要求,这意味着员工的工资既能满足他们及其家人的基本需求,又能提供一些可自由支配的收入。我们公司拥有一支受过高等教育、薪酬水平相对较高的员工队伍。2020年,作为两年周期的一部分,我们进行了一项分析,将我们的最低基本工资与我们运营的国家和地区的当地最低工资和当地的“最低生活工资”进行了比较。我们没有发现任何差距。平均而言,我们的工资远远高于当地最低生活工资。该分析计划在2022年进行更新。
劳动关系
我们希望为所有员工提供公平的劳动条件和社会保护,无论他们在哪里,也无论他们是签订固定合同还是临时合同。我们支持国际劳工组织(劳工组织)的原则,我们尊重所有雇员自行组建和参加工会、集体谈判以及参加和平集会的权利。
我们努力遵守我们开展业务的每个国家的相关法律。在那些我们有员工代表的国家,我们与代表我们员工的不同组织定期进行对话。在这些对话中,话题由公司和员工代表提出并讨论。
我们没有在限制ASML员工的结社自由和集体谈判自由的国家开展业务。
在荷兰,我们已经申请了Metalektro集体劳动协议(CLA)的许可,以便制定我们自己的CLA。我们在全球市场的独特地位,我们的规模和增长,我们非常独特的员工群体,以及我们为交付我们的产品而带来的广泛的能力和活动,创造了我们在劳动条件下对自己方向的需求。未来ASML CLA的目的是提供一套与我们所有员工的多样性和需求相匹配的劳动条件。
2021年,经过密集的协商,开始了与工会的谈判。新的CLA将与Metalektro代表的工会密切合作制定。一旦我们有了新的CLA,我们将继续在我们自己的CLA框架内与工会就劳动条件进行合作,并保持我们在各种劳工组织中的积极成员资格,如FME和PME。
远程工作策略
我们希望对人们的福祉、生产力和工作与生活的平衡产生积极影响。在办公室工作和面对面会面可以激发团队内部和团队之间的创新和最佳协作,这是我们工作方式的起点。在疫情期间,团队表示需要亲自会面,共同解决问题并保持一致实现共同目标。我们还认识到,繁忙的办公室可能不是专注工作的最佳场所,因此对于某些任务来说,在远程办公室安静工作可能会更好。
从根本上讲,ASML相信员工自己可以最好地管理自己的工作。另一方面,管理者负责有效地组织团队和组织的工作方式。这意味着员工和经理对根据我们的远程工作政策做出的选择负有共同责任。
我们的目标是为ASML员工及其经理提供明确的指导和帮助,帮助他们在远程工作和在办公室工作之间做出正确的选择。远程工作既不是强制性的,也不是一种权利。作为一项全球指导方针,如果工作允许,员工每周最多可以远程工作两个工作日。某些工作或部门可能会有例外。
强有力的领导力
要保持市场领先地位,我们必须提供统一的方向。这意味着我们需要真正的领导层,让我们的人民清楚地知道ASML的发展方向。这为我们所有人提供了为ASML的成功做出贡献并产生影响的巨大机会,这对我们的领导人来说也是一项相当具有挑战性的工作。随着我们公司的发展,对角色和期望的清晰度的需求也在增加。领导者需要在这方面发挥作用,为员工提供角色清晰,以及明确自己的角色和责任。我们继续努力更清楚地阐述和捕捉这一点,以便我们的人民能够理解对他们的期望。
我们的领导力框架于2020年推出,概述并阐明了领导者在商业领导力中的角色,在公司内部树立价值观的角色,以及作为员工的人员经理和教练意味着什么。领导力都是关于人的。
领导框架
2021年,我们继续部署行为能力培训、教练计划和实践指南,以激励和促进个人发展。我们有领导力课程,通过我们的潜在加速计划,我们快速跟踪我们最有前途的经理的职业生涯。这些计划确保我们的经理们意识到对他们的期望,并帮助他们发展成为更好的领导者所需的技能和能力。
这些计划的影响在我们的员工对2021年We@ASML调查的回应中最为明显,在调查中,74%的员工表示,他们认为他们的经理角色以一种平衡的方式模拟了ASML的三个价值观-挑战、协作和关怀。
确保员工安全
在ASML,安全不仅是优先事项,也是先决条件。这是我们日常工作中不可或缺的一部分,也是我们领导他人的方式。我们尽我们所能为我们办公场所的每个人提供无伤害和健康的工作条件,并确保我们的所有操作都是安全的。这包括员工、承包商、供应商、客户和访客。我们彼此依靠--我们每个在ASML工作和为ASML工作的人--分享这一承诺,因为我们共同努力,确保彼此的安全。
2021年,新冠肺炎疫情的持续性影响仍然深入到每个角落,影响到全球人民和我们业务的方方面面。我们的优先事项没有改变:我们的首要重点一直是确保我们在世界各地的同事及其家人的安全。我们的第二个目标是确保我们为客户提供卓越的服务。
我们遵守政府的所有指导方针和安全措施。企业危机管理团队经常向员工提供新冠肺炎的最新情况和我们的应对措施。2021年,我们在全球范围内推出了多项福祉计划,以解决在家工作的人们的身心健康和情感健康问题。
我们的员工安全策略
我们相信,所有工伤和职业病都是可以预防的。因此,我们正在努力实现零伤害和工伤相关疾病的长期目标。
完全消除风险是不可能的,但我们可以在所有层面上积极主动地识别工作场所的潜在问题或担忧,并制定措施来减少这些问题或担忧。我们尽我们所能将风险降至最低,为我们的员工提供正确的保护、程序和流程以确保他们的安全是我们的责任。
我们的目标是预防职业健康和安全事件。为了根据行业标准对我们的性能进行基准测试,我们使用了0.20的目标可记录事故率,这代表了世界级的性能。但我们持续的抱负是零,这推动了我们在流程、工作条件和员工行为方面的不断改进。为了实现这一目标,我们专注于EHS管理体系、安全文化和培训。一个例子是“安全Gemba步行”,经理们会参观员工的工作场所。这有助于我们提高安全绩效和加强安全文化。
新的全球举重训练
趋势分析和过去的举重(险些失手预期)事件和良好的接球构成了新的、以软技能为重点的、游戏化的训练基础,面向全球未来的举重队员。这种以人为本的有效趋势设置通过使用混合学习方法、及时的工作场所学习和现代技术,以高效和有吸引力的方式促进了安全的工作环境。
本次EHS起重培训将为起重操作员提供更深入的起重工具专业安全培训框架,并为参与起重行动的其他人提供起重活动意识。通过事件报告和事件调查吸取的经验教训的结果提高了我们EHS培训解决方案的质量和影响,有助于将ASML的安全文化提升到新的水平。
管理安全的工作场所
我们致力于建立完善的EHS管理体系。我们采用尽可能高的专业标准,持续改进是我们管理体系的关键原则。我们的EHS管理体系是以国际标准化组织45001标准为基础的,并符合其要求。
我们成立了一个企业EHS委员会,由我们的首席运营官担任主席,负责监督和批准ASML的EHS战略,并领导EHS管理体系。我们的直线经理负责日常的EHS管理。我们的EHS能力中心收集最佳实践并为ASML定义EHS标准,帮助我们的经理在工作场所实施这些标准。
我们的员工和产品安全承诺包含在我们的可持续发展政策中,该政策适用于ASML全球范围内。此外,我们的ASML EHS指南旨在为我们的员工、承包商和任何其他为我们工作的人提供实用、有用和必要的信息。该指南旨在创建意识和所有权,解释了我们的目的和目标,并清楚地描述了我们所遵循的规则和政策。
事故和风险管理是我们的EHS管理体系的关键要素。我们记录并调查所有事件和险些发生的事件,以确定根本原因并采取纠正措施,以防止它们在未来再次发生或发生。
我们定期进行危险和风险评估,重点是防止员工暴露在化学品、火灾、辐射、机械操作和人体工学风险等潜在危险中。这些为我们提供了对ASML主要危险和风险领域的进一步洞察。然后,我们可以采取适当的行动来缓解这些风险。我们通过EHS内部审核确保持续改进。
加强安全文化建设
2020年,我们出台了五条救生安全规则,以创造更安全的工作场所,提升我们的安全绩效。尊重和遵守这些规则不仅可以拯救生命,还可以让我们集体更多地意识到整个组织的安全风险。2021年积极和一致地部署这些规则导致了更高的认识、更好的洞察力和改进行动,如改进的程序、工具和教育。在ASML,向我们的员工和任何其他独立访问我们的办公场所和客户地点的人-包括承包商和供应商-告知我们的安全文化并提高对这些文化的认识是标准做法。培训是我们准备和告知员工这一点的方式之一。
我们的成果和进展
我们根据美国《职业健康与安全法案》登记与EHS相关的事件。我们的可记录事故率从2020年的0.18降至2021年的0.17,表现优于电子行业0.20的基准。可记录事故率是指每100 FTE中一年内急救以外的可记录病例数。与往年一样,我们没有记录任何与工作有关的死亡或永久性残疾。
安全不仅仅是程序、规则和正确的设备,还包括人类的心态、行为、态度和习惯。遵循五项安全规则,我们部署了各种针对部门的宣传计划。例如,我们一直在D&E推出Hein®安全运动,帮助我们发展共同的安全语言和对话。研讨会和培训在许多集群中进行,有许多有趣的讨论和对我们的安全行为的见解。
2021年,我们扩展了EHS基础计划,增加了新的安全培训模块。从2021年9月起,预计将在无尘室工作的新员工将必须完成EHS无尘室基础知识,这是一个旨在为新员工安全进入、离开和在ASML无尘室工作做准备的培训模块。到2021年年底,95%的合格候选人已经完成了这项强制性培训。我们还计划在2022年初对我们公司的安全文化进行全公司范围的重新评估,以验证我们的安全文化转型计划是否具有正确的效果,并对我们需要在哪里加强提供见解。
为了提高我们的EHS绩效,我们鼓励员工在遇到安全风险时直言不讳。如果员工感到不安全,他们有权停止工作。与他们的经理和EHS专家一起,将定义一种安全的工作方式,以便工作能够恢复。
我们的员工KPI
下表显示了关键绩效指标(KPI)和相关的2025年目标。更多请阅读:非财务报表-非财务指标-我们的绩效指标(PI)和相关结果的人员。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。
| | | | | | | | | | | | | | |
关键绩效指标 | 2019 | 2020 | 2021 | 2025年目标 |
参与度分数We@ASML调查 | 77 | % | 80 | % | 78 | % | 与同行持平 |
雇主品牌排名1 | | | | |
荷兰 | 10 | | 10 | | 6 | | 前十名 |
我们 | — | | 99 | | 133 | | 前75名 |
中国 | — | | 168 | | 148 | | 前100名 |
台湾 | — | | 22 | | 6 | | 前20 |
韩国2 | 19 | | 24 | | 14 | | 前20 |
1.优信雇主品牌排名:工程专业学生。
2.截至2021年,韩国的整体排名不再由Universum进行。2021年报告的结果基于定制排名报告。2025年目标是指总体排名。展望未来,我们需要根据定制排名来定义我们的目标。
为联合国可持续发展目标做出贡献
本章描述的我们的雄心、承诺和计划有助于实现以下可持续发展目标。 有关业绩的更多信息,请阅读更多内容:非财务报表-非财务指标-我们的人员。
| | | | | |
SDG目标 | 我们如何衡量业绩 |
可持续发展目标4.3--到2030年,确保所有男女都有平等机会接受负担得起的优质技术、职业和高等教育,包括大学 | •雇员培训和发展指标 •多样性指标 |
可持续发展目标4.4--到2030年,大幅增加青年和成年人拥有就业、体面工作和创业所需的相关技能,包括技术和职业技能的人数 | •社区参与和技术推广 •获颁奖学金 |
可持续发展目标4.5--到2030年,消除教育中的性别差距,确保弱势群体,包括残疾人、土著人民和处境脆弱的儿童平等获得各级教育和职业培训 | •ASML基金会项目 |
可持续发展目标8.1--根据国情保持人均经济增长,特别是最不发达国家国内生产总值每年至少增长7% | •财务业绩 |
可持续发展目标8.2--通过多样化、技术升级和创新实现更高水平的经济生产力,包括侧重于高附加值和劳动密集型部门 | •人力资本投资回报 •员工敬业度得分 |
可持续发展目标8.5--到2030年,为所有男女,包括青年和残疾人,实现充分的生产性就业和体面工作,并实现同工同酬 | •劳动力数据,包括多样性和包容性 •公平薪酬薪酬比率 |
可持续发展目标8.6--到2020年,大幅降低未就业、未接受教育或未接受培训的青年比例 | •雇员减员率 •新员工 |
可持续发展目标8.8--保护劳工权利,促进所有工人,包括移徙工人,特别是妇女、移民和就业不稳定者享有安全和有保障的工作环境 | •员工安全指标 |
成为社区的一部分意味着不仅要关心我们自己的员工,还要照顾我们组织之外的人。我们培养密切的社区联系,鼓励我们的员工参与进来,尽自己的一份力量。ASML需要社区的支持才能成功,如果ASML让社区从它的存在中受益,并考虑到社区的需求,ASML就会获得这种支持。
我们的目标是成为我们社区中有价值和值得信赖的合作伙伴,提高所有人的生活质量,特别关注弱势社区。我们支持18岁以下青年的技能发展,使他们为日益数字化的未来做好准备,并支持为弱势群体提供的社区服务,以及当地的艺术和文化倡议。
我们从彼此的存在中受益,相互支持对方的发展。对于ASML来说,重要的是为有积极性的员工长期可持续的战略执行创造一个健康的基础。对社会来说,成功意味着我们能够弥合鸿沟,让市民和他们的环境茁壮成长。
我们的社区参与计划属于首席执行官的职责范围,它建立在ASML有能力并能够产生影响的三个支柱之上:
1.教育
2.Arts & Culture
3.当地外联
2021年,ASML在慈善机构、社区参与、组织和我们自己的ASML基金会上花费的现金承诺和实物支持总额约为1040万欧元。我们的企业公民活动不仅限于社区支持,还包括为旨在培育未来年轻科技创新的初创企业和企业做出实物贡献。此外,我们还通过公私合作伙伴关系的研发支持欧洲创新生态系统。更多内容请阅读:创新生态系统。
教育
我们为可持续发展目标4优质教育和可持续发展目标5性别平等作出贡献
ASML认识到需要让所有年龄段的人为日益数字化的未来做好准备。STEM(科学、技术、工程和数学)能力对于帮助儿童发挥其潜力很重要,特别是在弱势社区。我们组织和赞助了许多活动,旨在分享我们对技术的热情和专业知识,以激励所有世代。我们还与多个组织和教育活动合作,促进技术领域的职业发展。我们的员工是这些计划的榜样和指南。
我们通过以下方式执行我们的教育计划:
1.教育团队与ASML开展业务的社区的学校和教育项目密切合作。教育小组提供实际支持并协调ASML志愿者(我们所谓的ASML大使)网络,这些志愿者访问学校和活动,并在课程中支持儿童和学校,一些人担任兼职(“混合”)教师,一些人担任弱势儿童的家教,另一些人担任技术和STEM推动者。我们的STEM强化教育计划旨在提高年轻人对技术的兴趣,并增加当地和地区的人才库。我们还提高了人们对一个提供许多发展机会的部门的职业前景的认识。
2.ASML基金会是一个独立的基金会,但与ASML有很强的联系。它的运作保持一定的距离,并有自己的董事会和预算。它旨在通过发展他们的天赋和帮助释放他们的潜力的教育倡议,提高世界各地弱势儿童的自给自足能力。更多内容请阅读:ASML基金会。
2021年支持的项目
2021年,我们在我们运营的地区(荷兰、美国和亚洲)共支持了64个教育项目。这些项目的总价值达430万欧元。
下面我们提供几个亮点的例子。欲了解更多信息,请访问www.asml.com-社区参与
Tu/e(荷兰)
作为埃因霍温理工大学(TU/e)最重要的合作伙伴之一,ASML借此机会捐赠了四件价值约350万欧元的高科技礼物,以庆祝该大学的65岁生日。这些将主要由该大学新成立的埃因霍温·亨德里克·卡西米尔研究所的研究人员使用。有关更多信息,请参阅创新生态系统-与研究机构和大学的合作伙伴关系部分。
儿童探索博物馆(美国)
2021年7月20日,星期二,圣地亚哥儿童探索博物馆举办的科学和工程日期间,全家人都在享受着乐趣。ASML赞助了这次虚拟活动,该活动对公众免费开放,包括在博物馆的Facebook页面上举办的多项互动教育活动。活动包括对机器人进行编码,让其遵循一条路径,解决环境科学挑战,以及进行化学实验。ASML圣地亚哥以5000美元的价格赞助了这项活动。
台湾(亚洲)的科学教育
在台湾,ASML与李远哲科学教育携手实施了一项名为“台湾科学扎根计划”的为期三年的种子教师培训计划。将培训70多名种子教师,300名学生将通过动手体验学习基本科学知识。除了这个项目,ASML每年还赞助四个科学体验营。
维基媒体(全球)
ASML向维基媒体基金会捐赠了5万欧元。这将是对维基百科背后的组织的年度捐赠中的第一笔,以确保它们的连续性,并支持它们保持为每个人提供免费和开放知识的资源的事业。根据维基媒体的指导方针,随着ASML员工的增加,这一年度捐款将随着时间的推移而增加。
伙伴关系
•我们与Spectrum Brabant一起推出了辅导计划“平等机会”,这是一项针对Brainport Eindhoven地区中学生的免费计划,旨在解决教育方面的劣势。
•我们与国家老年人基金会、VodafoneZiggo和三星建立了合作伙伴关系,通过欢迎在线数字教育计划支持老年人的数字包容,该计划旨在帮助该地区的老年人实现数字自力更生。
Arts & Culture
我们为可持续发展目标11可持续城市和社区做出贡献
文化是将社区中的人们联系在一起的无形纽带,而艺术是看得见的文化。为了加强这种联系,ASML支持对社区文化至关重要的倡议和组织,并帮助向新来者和弱势群体开放它们。我们专注于我们社区的文化图标--那些对当地社区以外有影响的组织和倡议。
2021年支持的项目
2021年,我们在我们运营的地区(荷兰、美国和亚洲)总共支持了14个艺术和文化项目。这些项目的总价值达150万欧元。
下面我们提供几个亮点的例子。欲了解更多信息,请访问www.asml.com-社区参与
与梵高博物馆和梵高·布拉班特(荷兰和全球)合作
我们将科学和艺术结合在一起,与梵高博物馆和梵高·布拉班特建立了长期的合作伙伴关系,以帮助确保扎根于荷兰布拉班特地区的艺术家的作品和文化遗产可以世世代代享受。通过这一合作伙伴关系,我们支持多个项目,包括:
•保护画作:ASML的一个工程师团队正在与荷兰文化遗产局、阿姆斯特丹大学和梵高博物馆的保护人员合作,调查光线等外部因素如何影响梵高使用的颜料。通过利用这些知识来优化展示条件,并将藏品的进一步退化降至最低,我们有助于为子孙后代保存他的杰作。欲了解更多信息,请访问网址:www.asml.com/en/news/stories/2021/preserving-van-gogh.。
•文森特的光实验室:我们已经开始在荷兰纽宁博物馆文具中心的扩建计划中实现“文森特的光实验室”。参观者将能够了解更多关于光的知识,以及梵高如何在他的绘画中实验光。新的博物馆文物馆将于2023年开业。
•ASML画廊:我们支持梵高博物馆2021年秋季展览《吃土豆的人:错误还是杰作》。这次展览是对梵高的杰作《吃土豆的人》的致敬,也是对他在布拉班特的时光的致敬。
•大师和杰作:我们与梵高博物馆合作,为中小学生开发了教育材料。艺术家的好奇心是他手艺的关键,我们与博物馆一起,鼓励学生追随他的脚步--就像我们的合作伙伴关系一样,将科学与艺术联系起来。200多个在线课程被教授,覆盖了欧洲和亚洲的8000多名儿童。
辉光艺术节(荷兰)
灯光是我们工作的关键,这就是我们与荷兰埃因霍温一年一度的辉光艺术节合作的原因。2021年,我们在艺术节上展示了一件与当地艺术家Gijs van Bon合作创作的特殊艺术品。这件物品是对ASML技术的颂歌,也是自由节的亮点之一,将艺术与科学联系在一起。超过58万人参观了这个节日。
当地外联
我们为可持续发展目标11可持续城市和社区做出贡献
作为一家负责任的公司,我们希望在我们运营的社区中发挥我们的作用。通过与ASML所在地区的企业和组织合作,我们建立了信任并回馈社会。
我们支持对我们的社区至关重要并将我们社区的人们联系在一起的地方倡议和组织。我们与ASML员工一起,做出贡献,使这些倡议具有吸引力和可及性,我们特别关注促进融合、促进多样性和赋予弱势群体权力。
我们分布在欧洲、美国和亚洲的60个地点。有了如此广泛的存在,我们与我们所在的社区接触并提供支持是很重要的。我们热情的员工为当地的项目和组织做出贡献,这些项目和组织在他们的社区中发挥了作用。作为一家公司,我们为当地的非营利组织提供赞助和捐赠资金。
通过我们的全球志愿服务计划,我们鼓励员工更多地参与当地社区。每个人都可以利用一年中的一天作为自己选择的活动、慈善机构或活动的有偿志愿者日。员工也可以志愿参与ASML基金会的项目。ASML基金会是我们当地外展活动的主要合作伙伴,通过规划和资金支持其中许多活动。更多内容请阅读:ASML基金会。
2021年支持的项目
2021年,我们在我们开展业务的地区(荷兰、美国和亚洲)共支持了55个地方外展项目。这些项目的总价值达230万欧元。
下面我们提供几个亮点的例子。欲了解更多信息,请访问www.asml.com-社区参与。
与PSV(荷兰)合作
2019年,我们与其他五个来自该地区的合作伙伴一起,成为当地足球俱乐部PSV的主要赞助商。这个俱乐部位于我们当地社区的中心,是为我们当地社区的健康和社会福祉而团结的力量。通过联合起来,我们可以合作,一起做更多的事情。通过这一合作伙伴关系,我们支持多个项目,包括:
•ASML社区休息室(在飞利浦体育场):旨在让每个人都能接触到足球,帮助新人在我们地区找到自己的位置,让没有经济能力的人享受一个顶级运动之夜。我们欢迎来自粮食银行、近地天体、塞维里纳斯、救世军和其他援助机构等组织的志愿者和客户来到会场,2021年总共有1500多名客人。
•在线活力平台:Brainport Eindhoven和PSV联合推出了一个在线平台,旨在激励和激励Brainport Eindhoven地区的每个人在健康和福祉领域,为所有人创造一个充满活力和健康的地区。我们围绕七个幸福主题分享了我们的知识和专业知识。
•PSV分析:PSV Sports Performance和ASML BAS大数据之间的合作项目。该项目的目的是帮助这家荷兰顶级足球俱乐部解锁、使用和优化其收集的大量数据,并将它们转化为分析比赛计划的动态图像。这项工作激励了我们的ASML技术人员,因为我们合作并支持俱乐部与其更大(更富有)的竞争对手竞争。
摩尔癌症中心(美国)
每年,ASML圣地亚哥的员工都会在Luau&Legends of Surfing邀请赛上冲浪寻求治疗,该邀请赛筹集资金支持加州大学圣迭戈·摩尔斯癌症中心的研究和病人护理。虽然由于新冠肺炎的预防措施,今年的活动看起来有点不同,但它仍然筹集了50万美元。ASML是活动赞助商,捐赠了15,000美元来帮助实现这一目标。
ASML基金会
我们为可持续发展目标4优质教育、可持续发展目标5性别平等、可持续发展目标10减少不平等和可持续发展目标17伙伴关系作出贡献
ASML基金会是我们选择的慈善机构,主要专注于为有需要的年轻人提供有影响力的、包容性的教育和培训计划。通过包容性和高质量的教育和培训改善生活,是我们看待我们使命的方式。我们希望通过21世纪的终身学习和教育以及创业技能,使人们能够包容和公平地参与社会。通过这样做,基金会旨在对SGD 4(优质教育)产生可持续的影响,并为SDG 5(两性平等)、SDG 10(减少不平等)和SDG 17(伙伴关系)做出贡献。
我们相信,所有人都应该接受优质教育,使他们能够在日益数字化的世界中自给自足。我们的目标是帮助参与我们支持的项目的人提高他们过上更好生活的机会。通过资助和伙伴关系,ASML基金会旨在通过教育实现包容性和公平地参与社会,从而释放有需要的年轻人的潜力。我们项目选择的多样性不仅表明纳入了妇女,而且还表明我们的目标群体可能面临的不利条件:受教育机会少、特殊教育需要或缺乏职业培训。
ASML基金会希望在ASML运作的社区中有所作为。因此,它主要支持欧洲、美国和亚洲的项目和倡议,以满足该地区的特定需求。例如,在荷兰的布雷恩波特埃因霍温地区,解决文盲问题已成为ASML基金会2021年的一个重点领域。在美国,项目主要集中在防止贫困地区辍学,以及促进科学、技术、工程和数学(STEM),特别是对女孩来说。亚洲的项目因国家而异。例如,在亚洲的发展中地区,重点是女孩的教育,以减少不平等,并防止童婚。在中国,重点是农村地区女孩的STEM。
2021年,基金会捐赠了约200万欧元(2020年为100万欧元),支持了8个国家的22个项目。通过这些承诺的捐款,该基金会的目标是惠及约775,000名年轻人。
员工志愿服务
ASML员工从ASML员工商店购买商品并通过捐赠向ASML基金会提供财政支持。ASML基金会还负责ASML的志愿服务计划:它协调志愿活动,并跟踪ASML员工为教育活动和其他事业做出贡献的志愿服务时间。ASML员工被允许每年抽出8个小时从事符合志愿者政策的志愿者工作;许多志愿者也贡献了自己的时间。
2021年支持的项目实例
欲了解更多信息,请访问www.asmlfination.org。
埃因霍温基本技能城市计划(荷兰)
在荷兰,识字率低的人的数量正在增加--例如,在埃因霍温地区,年龄在16-65岁之间的人中有7%的人有读写困难。总体而言,25%的15岁青年没有达到在社会中充分发挥作用所需的识字水平。埃因霍温市政府、当地图书馆、当地卫生局(GGD)和其他合作伙伴制定了一项计划,到2023年加强埃因霍温地区约1万名低识字率人群的基本技能--阅读、写作、计算和数字技能。作为埃因霍温基本技能城市计划的一部分,ASML基金会支持一项针对0-4岁儿童的早期预防文盲倡议。
STEM-Girls能做到(亚洲)
STEM-Girls Can Do It项目旨在为10至14岁的年轻人--尤其是女孩--促进性别平衡的STEM教育,地点在中国农村,靠近ASML在成都和xi的办公室。来自当地ASML办公室的员工一直作为志愿者积极参与合作伙伴关系,在ASML办公室主持面对面的活动,并将女性工程师作为榜样
探索教育(美国)
公平与获取数字教育资源计划支持高质量的数字内容,并为全美资源不足的学校提供有效的按需专业发展,以应对新冠肺炎带来的学习损失。在美国威尔顿附近的布里奇波特,ASML基金会通过向全国课后协会提供资金支持这一倡议,使他们能够使用Discovery Education,Inc.的数字学习材料。
我们通过合作伙伴关系进行创新。我们的创新理念是,我们将自己视为架构师和集成商,在创新生态系统中与合作伙伴合作。在我们的创新生态系统中,长期合作建立在信任的基础上。我们在推动创新的同时,既分担风险,又分享回报。在与生态系统共享我们的专业知识的同时,它还为我们提供了访问广泛技术领域的大型前沿知识库的途径。我们共同建立了一个强大的知识网络,以创造社会可以利用的技术解决方案。这种协作方式使我们能够加速创新。
我们通过合作伙伴关系进行创新。为此,我们专注于与研究中心的合作,通过与研究机构和大学的伙伴关系促进创新管道,并通过欧盟公私合作伙伴关系与研发伙伴合作。此外,我们相信,通过支持初创公司和团队,培育未来的年轻科技公司,我们可以在生态系统中创造更大的影响。
与研究机构和大学的伙伴关系
我们在大学和研究机构等广泛的技术合作伙伴网络中共同开发专业知识。我们的一些合作伙伴包括比利时的IMEC,荷兰特温特、代尔夫特和埃因霍温的工业大学,以及同样在荷兰的纳米光刻高级研究中心(ARCNL)。ARCNL从事基础研究,专注于纳米光刻及其在半导体行业中的应用中当前和未来关键技术中重要的物理和化学。
与往年一样,2021年,这些伙伴关系取得了积极成果。
我们的进步和成就
2021年,IMEC展示了在一次曝光中打印24 nm窄间距线的突破。使用ASML的NXE:3400B系统,结合先进的成像方案、创新的抗蚀剂和洁净室中的优化设置,IMEC展示了我们的系统如何能够在单个曝光步骤中打印间距为24 nm的线条。这项创新将使IMEC及其专注于抗蚀剂和图形制作的合作伙伴能够帮助开发和测试抗蚀剂材料,这些材料将支持我们推出下一代EUV光刻系统,即我们的EUV 0.55 NA(High-NA)平台。
我们与日本另一家半导体设备公司东京电子等公司合作,进一步增强我们EUV技术的扩展解决方案。2021年,东京电子加入了我们与IMEC的合作伙伴关系,并将其领先的涂布机/显影剂引入了IMEC-ASML联合High-NA EUV研究实验室(联合High-NA实验室)。这种涂布机/显影剂将具有先进的功能,不仅与广泛使用的化学放大抗蚀剂和衬层兼容,而且还与旋涂金属抗蚀剂兼容。旋涂金属抗蚀剂表现出高分辨率和高耐蚀刻蚀性能,有望实现更精细的图案化。与新的工艺模块相结合,这将实现灵活的制造厂运营,同时还实现了更高的生产率和高可用性。
我们继续密切参与由埃因霍温理工大学(TU/e)建立的高科技系统中心(HTSC),该中心旨在促进基础研究,重点了解机电一体化和机械工程行业的需求。自三年半前成立以来,HTSC已经支持了几个新项目的启动,扩大了我们与TU/e的合作范围,转向静电基础知识和光学设计的新发展。为了庆祝TU/E成立65周年,以及我们对合作的感谢,我们为新研究所和学生实验室捐赠了一套高科技纳米技术机器和服务,总价值350万欧元。
2021年,我们与荷兰S-赫托根博斯的Jheronimus数据科学研究院(JADS)合作,在数据科学领域展开合作。数据科学对整个半导体行业,特别是ASML技术越来越重要。这种合作为我们提供了从年轻人才那里获取最新学术知识和新视角的途径,同时也通过专业教育计划帮助我们发展员工的技能。
与赫里奥特-瓦特大学(英国)建立新的合作伙伴关系
我们与来自英国赫里奥特-瓦特大学(HWU)的世界领先的学术团队建立了新的合作伙伴关系,以推动新光源技术的进步。这项为期五年的合作旨在加快基础物理研究的产业化,并为新的激光技术创造一条从实验室到市场的直接途径。
ASML与学术界有着悠久的合作传统,而HWU则以其根据商业和行业需求进行的开创性研究而闻名。这种合作伙伴关系将解决特定的现实世界工程挑战,例如ASML机器中的传感器由于遇到的材料不同(每种材料都以不同的方式吸收光)而必须在多个波长下工作。该团队目前的重点是用于光学计量的新型宽带光源,并建立在其令人印象深刻的创新记录的基础上。
与研发合作伙伴的合作
我们在欧盟及其成员国资助的研究和创新项目中与私人合作伙伴合作。我们开展合作补贴项目,旨在根据摩尔定律,为与行业路线图相连的下一个节点推进IC技术。地平线欧洲计划是一个公私合作伙伴关系,在应对全球挑战的同时,促进合作并加强研究和创新在制定、支持和实施欧盟政策方面的影响。
通过在欧洲项目中的合作,ASML及其合作伙伴通过推动和加速欧洲的基础研究和突破性创新,在赋予该地区一定程度的主权方面发挥了作用。这种协作还能产生显著的商业价值、促进就业并创造知识。例如,ASML和各联盟中的其他成员的专利申请数量每年都在增加,这反映了合作的成功。
我们的进步和成就
2021年,我们继续协调TAPES3、PIN3S和IT2三个欧盟项目的努力,确保及时向互联的公共合作伙伴报告,并组织在线联合体会议,交流想法和知识。
2021年,在这些公私合作伙伴关系中,我们自己在研发方面的贡献为3030万欧元,我们在项目整个三年期间的总投资价值为9300万欧元,占项目资金总额4.48亿欧元。在所有这些项目中,我们与欧洲各地的大学、研究和技术机构和其他高科技公司--来自10个不同欧洲国家的20到80个合作伙伴--合作,帮助该行业转向下一代技术。
2021年,ASML开始协调一个新的欧盟合作项目,称为ID2PPAC。在这个为期三年的项目中,前一个IT2项目中确定的2 nm节点的技术解决方案将进行整合和集成,目标是证明可以实现这一下一代前沿逻辑技术的性能、功率、面积和成本(PPAC)要求。
为了在满足PPAC要求的同时将摩尔定律延续到2 nm节点,需要在EUV光刻和掩模、3D器件结构以及材料和计量方面取得进一步的进步。ID2PPAC项目汇集了28个领先专家合作伙伴的研发能力,以应对这些挑战-它的研发成本超过1.07亿欧元,并为生态系统释放了4890万欧元的公共资金。在地理上,该项目连接了来自奥地利、比利时、捷克、法国、德国、以色列、西班牙和荷兰的人们。
与欧盟研究项目合作
SolMateS是由ASML牵头的欧盟项目ID2PPAC的合作伙伴。SolMateS首席技术官Matthijn Dekkers:“SolMateS是一家充满活力的创新公司,拥有45FTE,位于荷兰,为半导体市场提供设备。我们的薄膜脉冲激光沉积硬软件正在改变薄膜材料的未来。在ID2PPAC财团内,SolMateS负责IMEC 300毫米脉冲激光沉积系统的开发和安装。该系统将用于半大马士革材料的开发。与项目合作伙伴IMEC和ASML等合作,帮助SolMateS在与生产相关的环境中测试新开发的硬件。ID2PPAC联盟网络使SolMateS能够利用半导体市场合作伙伴的专业知识。该项目对公司的战略路线图和成为高科技设备领域相关参与者的雄心做出了重大贡献。“
支持初创企业和规模企业
为了培养新一代科技人才的创新能力,我们还提供宝贵的专业知识来支持企业家和初创企业。我们利用我们专家的深入能力和知识来开发和支持初创企业和规模企业。通过培养企业家精神,我们的目标是帮助这些年轻企业脱颖而出并发展壮大。我们的共同点是基于我们擅长的领域,比如构建复杂的制造系统。这是我们可以发挥作用并有所作为的地方。
分享我们的专业知识是加强我们地区高科技生态系统的一种方式,特别是在我们位于荷兰维尔德霍温的总部周围。这一地区在全球具有竞争优势,我们需要确保我们保持这一地位。构建
强大的地区基础不仅使ASML和相关合作伙伴受益,也使其他公司和组织受益。它还有助于吸引广泛的人才基础到该地区。
通过HighTechXL,我们结合高科技创业人才和相关技术,建立和加速有影响力的初创企业。通过Make Next平台,我们的目标是支持年轻的创新高科技团队。
2021年,ASML为高科技初创企业和初创企业提供了近100万欧元的实物支持。这一数额包括2100个小时的支持和40万欧元的现金。
ASML作为风险投资者
ASML是HighTechXL的主要股东之一,与飞利浦、TNO、Brabant Development Agency(BOM)和High Tech Campus Eindhoven等该地区其他注重技术的合作伙伴一起。通过HighTechXL,我们将来自ESA、CERN、Fraunhofer、IMEC和TNO等知名科技合作伙伴的高科技创业人才和相关技术结合在一起,建立和加速有影响力的初创企业,目标是解决主要的全球社会挑战。
通过HighTechXL(一家围绕世界上最先进技术建立企业家和科技人才团队的风险建设加速器),多年来,我们与我们地区的其他具有技术意识的同行合作,为各个阶段的初创企业和规模扩大提供了支持。我们通过客观评估和每个KPI的一组可交付成果(例如商业模式、财务、技术、可持续发展和执行技能)来监控和评估他们的成熟度。
我们近年来获得的见解表明,我们过去的成功是基于与具有“深度技术”成分的规模扩大的初创公司合作,而这些公司很难找到。解决方案是与其他技术提供商合作建立我们自己的解决方案。自2020年以来,我们进一步参与加速现有初创企业的发展,并制定了一个新的重点领域,即建立我们自己的深度科技企业。
截至目前,已有18家新的深度科技企业完成了该计划,并已受到全球的关注。此外,目前仍有5家新企业在加速器计划中,进展良好,新的队列已经计划好了。
2021年,由于新冠肺炎疫情,HighTechXL的大部分活动仍然不得不在网上举行。我们还不得不在线下组织自己,伴随着通信和物流方面的相关挑战。虽然初创公司的花销率相对较低,但一些公司遇到了财务困难。反洗钱组织帮助安排了对其中一些项目的资金和补贴。
另一个在新冠肺炎危机期间变得更加明显的问题是需要早期融资,特别是对深度科技初创企业来说。深度技术通常被认为是复杂的,需要高风险资本,因此对典型的早期风险投资基金不太有吸引力。ASML承诺提供财政捐助,以满足初创企业的需求,特别是在初创企业存在的早期阶段,此时需要为建造技术示范器、原型等往往相对较高的成本提供资金。ASML与HighTechXL的其他股东一起,打算建立一个深度技术种子基金。
Carbyon能够捕获CO2从大气层中
一种可持续的CO提取解决方案2到目前为止,在将绿色氢气转化为清洁燃料的谜题中,空气一直是一个关键缺失的部分。解决这一难题将使可再生电力转化为化学品和燃料成为可能,只使用水、空气和清洁电力就可以结束有机燃料燃烧循环。
来自ASML和从TNO剥离出来的Carbyon公司的技术专家联手开发了一种非常复杂的机器来提取CO的技术概念2从空中以一种经济上有利可图的方式。特别是阐述了从空气到一氧化碳转变的“气体冲洗”概念2反之亦然,它是基于ASML的技术经验更详细地开发的。在ASML的积极支持下,Carbyon加快了其概念验证的设计和实现。它正在朝着成为一家规模较大的公司的方向发展,筹集了250万欧元的资金,并正在与各种风险资本家就资本增长进行谈判。多亏了卡宾,我们离创造一个可持续的未来又近了一步。
打造下一个平台
为了支持年轻的创新高科技大军,ASML于2016年与豪氏威马、Vanderlande和非营利组织Stichting Technology Rating一起创建了Make Next平台。泰利斯NL于2019年作为联合创始人加入。Make Next平台利用合作伙伴的网络、能力、专业知识和经验来回答这些合作伙伴在发展过程中遇到的问题。我们帮助他们成长为一家可持续发展的公司。
Make Next平台旨在帮助那些已经走出创业阶段并准备扩张的年轻科技公司。这些公司,即所谓的黑帮,面临着一些挑战,比如寻找增长所需的资金,知道如何瞄准新的客户群体,以及招聘具有合适技能的新员工。通过交流最佳实践、业务经验和企业专家的指导,Make Next平台合作伙伴旨在通过允许他们访问内部网络来支持他们发展成为全球参与者。
截至目前,Make Next平台已经筛选了200多家公司,并与其中50多家公司的管理团队进行了接触。到目前为止,已经采取了7次大规模行动,目前有10多次正在筹备中。
创新生态系统KPI
下表显示了关键绩效指标(KPI)和相关的2025年目标。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。
| | | | | | | | | | | | | | |
关键绩效指标 | 2019 | 2020 | 2021 | 2025年目标 |
研发费用(欧元,以十亿计) | 2.0 | | 2.2 | 2.5 | 不适用 |
| | | | |
研发合作机构的数量 | 144 | | 130 | 121 | 不适用 |
支持的初创公司总数中,初创公司达到星级(以百分比为单位) | 17 | % | 16 | % | 15 | % | > 20% |
支持扩大规模的公司数量(#年) | 5 | | 7 | 7 | 14 |
初创企业和大规模实物支持时间 | 1,300 | | 1,550 | 2,100 | 不适用 |
为联合国可持续发展目标作出贡献
本章描述的我们的雄心、承诺和计划有助于实现以下可持续发展目标。
| | | | | |
SDG目标 | 我们如何衡量业绩 |
可持续发展目标9.1--发展高质量、可靠、可持续和有弹性的基础设施,包括区域和跨界基础设施,以支持经济发展和人类福祉,重点是为所有人提供负担得起和公平的机会。 | •支持初创企业达到星级水平 •支持扩大规模的项目 •欧盟项目中的合作 |
可持续发展目标9.4--到2030年,升级基础设施和改造工业,使其可持续,提高资源利用效率,更多地采用清洁和无害环境的技术和工业流程,所有国家都根据各自的能力采取行动。 | •与研究合作伙伴合作 •我们产品的能效是按每道晶圆来衡量的 |
可持续发展目标9.5--加强科学研究,提升所有国家,特别是发展中国家工业部门的技术能力。对发展中国家来说,这包括到2030年鼓励创新,增加每百万人的研发人员数量,以及公共和私人研发支出。 | •在研发方面的投资 •与研发合作伙伴机构合作 |
在ASML,我们在很大程度上依赖我们的供应商网络来实现我们所努力的创新。我们的供应商是我们价值链的重要延伸。我们的总供应商基础中约有4,700家供应商,我们区分与产品相关和非产品相关的供应商。
与产品相关的供应商提供直接用于生产我们系统的材料、设备、部件和工具。这一类别包括800家供应商,占我们采购量的最高百分比,占我们总支出的70%。在与产品相关的供应商总数中,约有200家供应商是关键供应商,约占产品相关支出的92%。
与产品无关的供应商是商品和服务供应商,提供支持我们运营的产品和服务,从临时工到物流,从自助餐厅服务到IT服务。大约有3,900家供应商,这一群体占我们总供应商基础的近85%.
采购和供应链战略
我们投入了大量的资源来开发和引入新的系统和系统增强,例如EUV光刻和电子束计量。由于这些是涉及数千个专业部件的复杂技术,我们专注于高附加值的系统集成。
ASML的供应链战略以与供应商和合作伙伴的长期关系和密切合作为中心。我们的目标是确保我们获得所需的产品、材料和服务,以满足我们的短期和长期需求,支持我们的运营,从我们系统的最早开发阶段到生命周期的结束阶段。为了确保这一过程顺利进行,我们在产品生成过程(PGP)的尽可能早的阶段引入了我们的供应商。这也使我们能够提高产品性能,并确保可制造性和适用性。
在以小批量生产高价值产品、快速开发周期和业务波动为特征的利基市场上运营,需要对供应基础提出几个关键的性能要求。持续提高供应商的能力和绩效是我们采购和供应链战略的核心。我们对供应商的要求如下:
1.通过开发和维护同类最佳的能力和功能,实现我们的产品路线图,以确保最先进的技术和快速的上市时间
2.通过高效和专注的运营,推动成本降低、质量和能力提升
3.建立足够广泛的客户基础和规模,以分担和分散市场周期波动的风险,并提高灵活性和成本竞争力
4.为我们的可持续发展战略作出积极贡献
为了推动可持续和有弹性的供应链,我们强调供应商绩效管理、供应链风险管理和负责任的供应链。
面向未来的业务关系ASML和ZEISS
自20世纪90年代以来,ZEISS和ASML在“两家公司-一家企业”的旗帜下建立了战略合作伙伴关系,我们在一起取得了令人难以置信的成功。我们掌握了技术挑战:浸没式光刻曾经是并将继续取得巨大成功,我们将EUV 0.33 NA光刻投入批量芯片生产,我们现在正在开发下一代EUV 0.55 NA(High-NA)。
ASML和ZEISS签署了一项新的框架协议,将长期而成功的合作与结盟关系带到了一个新的水平。新的框架协议基于三大支柱。第一个是促进ASML和ZEISS之间相互尊重和理解的行为和交互模型。第二种是治理模式,它使两家公司在决策和业务战略的执行方面变得更加有效和一致。第三个支柱是覆盖两家公司之间整个业务关系的商业模式,允许产品和工程团队现在完全专注于协作来服务我们的客户。我们的共同意图是更快地向客户交付更好的产品,发展业务,并分担这项业务对最终客户的总体责任.
供应商绩效管理
ASML的持续增长,再加上我们的雄心壮志,要求我们显著改进我们的关键业务流程。严格的风险控制和持续的供应链改进是确保质量、长期业务连续性和可持续性的关键。
我们投资于开发和监控我们的供应格局,以帮助供应商满足我们在质量、物流、技术、成本和可持续性(QLTCS)方面的要求。我们的供应商概况分析方法帮助我们衡量所有这些领域的供应商业绩、供应商能力和风险概况。
我们建立了一个框架,将流程要求和合规期望传达给我们的供应商。该框架概述了我们对供应商管理和开发所需的ASML供应商环境的方法。它还提供了一个增强的知识库,以改善我们与供应商围绕其业绩和发展潜力的对话。我们定期召开运营和绩效审查会议,以确保供应商继续改进其绩效和流程。当供应商的业绩下降到低于每年设定的阈值,并且在合理的时间范围内没有应要求恢复时,ASML将采取行动确保可靠的未来供应。
此外,我们有一个结构性审计计划,以评估供应链风险,并确定可改进的领域,以减轻或减少这些风险。
2021年,我们在N级(间接)供应商变更管理、产品安全和维修等领域发起了各种供应商改进举措。这些跨部门的改进项目旨在加快我们供应商的学习,提高供应商的整体表现。
供应商加入了产能驱动的行列
随着芯片短缺的持续,客户面临着提高产量的压力,所有人都在关注ASML帮助他们做到这一点。但由于ASML的绝大多数产品依赖于供应商的零部件,我们的目光转向他们,以匹配所需的产能增长。这是2021年5月18日虚拟供应商提升日的重点。它包括两个成功的现场直播,来自亚洲、欧洲和美国的320多家供应商参与其中。
主要演讲者包括我们的首席执行官和DUV和运营部门的高级管理人员。他们传达的信息是明确的--目前ASML的每一点制造能力都得到了利用,我们需要我们的供应商与我们一起迅速提高,质量和交付性能至关重要。这是一个积极的行动呼吁--共同努力,我们可以提供我们的客户需要的东西,并确保我们行业的可持续性,使所有人受益。
供应链风险管理
由于我们许多部件和模块的高度专业性,以及低产量,从多个供应商采购并不总是经济的。因此,我们的采购战略(在许多情况下)规定了“单一采购,双重能力”,这要求我们主动管理供应商的业绩和风险。
在我们的风险管理框架中,我们评估六个风险领域--灾难、所有权、金融、知识产权和信息安全以及合规性。由于在同一行业或市场运营的供应商通常面临类似的风险,我们在其供应市场类别的背景下评估供应商的风险和表现。我们将根据需要调整我们的品类战略,以满足ASML的短期和长期业务需求。在风险超过商定的阈值的情况下,将采取缓解措施。例如,我们有长期供应商协议(LTSA)和/或持续供应协议,或确保知识产权在第三方托管中可用。更多内容请阅读:我们在2021年的表现-治理-我们如何管理风险。
我们的表现和进步
我们对我们的供应基地进行持续的绩效和风险管理,目的是确保和改善绩效,防止声誉损害。为此,我们部署了两个关键计划:旨在确保供应和供应商信息安全连续性的供应商业务连续性计划,以及保护我们的知识产权和保持领先技术地位的信息安全和网络弹性计划。
业务连续性计划
2021年,我们继续专注于通过审查业务连续性计划来提高业务恢复能力,以确保供应商能够在尽可能短的时间内重新交货,以防发生中断事件。我们要求供应商具备符合国际标准化组织22301标准的业务恢复能力。要求、评估并在需要时改进供应商恢复计划,以防止潜在的业务中断。例如,供应商可能被要求将其库存放在不同的位置,实施防火控制,或增加缓冲库存。2021年,我们将197家与业务关键产品相关的供应商纳入我们的业务连续性计划,并将范围扩大到32家与产品无关的供应商。
信息安全和网络弹性计划
2021年,我们继续扩大信息安全和网络弹性计划,使目前的供应商规模从2020年的143家增加到202家。能够获取绝密信息或拥有访问我们IT系统特权的供应商被要求通过国际标准化组织27001标准提高他们的网络韧性。为了支持我们的供应商和其他生态系统合作伙伴的这一努力,我们与Cyber Weerbaarheid(Resilience)Brainport一起在荷兰建立了安全信任圈。更多内容请阅读:我们在2021年的表现-治理-负责任的企业-信息安全。
负责任的供应链
我们积极追求供应链的可持续发展,旨在确保我们的一级供应商和承包商以关怀和负责任的方式开展业务,并作为负责任的商业伙伴行事。在我们寻求确保负责任的供应链的同时,我们部署了几个项目,重点是负责任的商业联盟(RBA)承诺和标准、尽职调查以及我们的供应商可持续发展计划。
澳洲央行行为守则承诺
我们是负责任商业联盟(RBA)的成员,并通过了《澳洲央行行为准则》,该准则旨在确保电子行业或电子产品为关键组成部分的行业的工作条件及其供应链是安全的,工人受到尊重和尊严,商业运营对环境负责并符合道德规范。
我们希望我们的主要供应商及其供应商也承认并遵守澳大利亚央行的行为准则。这一要求包括在我们与产品相关的长期供应商的合同中。我们还鼓励我们的供应商制定他们自己的可持续发展战略、政策和流程,我们积极推动我们的供应商遵守这一准则。
尽职调查
我们的供应商基础中有超过4,700家一级供应商,对我们来说,识别存在风险的供应商并对其进行优先排序非常重要。我们采用基于风险的方法来确定哪些供应商在我们更详细的尽职调查流程的范围内,该流程由三个层面组成:
a.使用RBA风险平台,根据道德、劳动力、健康和安全以及环境风险对供应商进行筛选,确定固有风险水平。
b.将供应商风险分析应用于业务关键型供应商。对于这些供应商,我们对QLTCS能力要素进行风险评估。
c.向主要供应商应用澳大利亚央行自我评估问卷(SAQ),其中我们考虑供应商的类型、杠杆和供应商的地理位置。我们专注于覆盖我们年度支出80%的产品相关供应商、包括非产品相关供应商在内的业务关键型供应商,以及在我们的澳大利亚央行年度风险筛选中被认为风险较高的供应商。
关于这些详细程序范围内的供应商,我们希望他们每年完成澳大利亚央行SAQ,以验证他们是否符合澳大利亚央行行为准则,并确定与澳大利亚央行行为准则标准有关的任何潜在差距。我们审查所有澳大利亚央行SAQ结果,评估高风险发现(如果有),并确定发现的严重性。我们的政策是与供应商讨论所有高风险的发现,以评估风险并确定是否需要改进计划。
我们确保可持续供应链的方法的一个关键绩效指标是范围内完成澳大利亚央行SAQ的供应商的百分比。我们的目标是到2025年达到90%的完成率。我们的第二个关键绩效指标是为高风险供应商制定100%的改进计划,这是澳大利亚央行自我评估确定的。
我们的表现和进步
下图概述了我们的尽职调查程序所产生的范围。
我们已经要求总共56家供应商完成了详细的澳大利亚央行SAQ。总体而言,澳大利亚央行SAQ结果显示,我们的供应基础风险水平相对较低,因为我们的大多数供应商在我们认为总体上拥有强大法治的国家开展业务。2021年,89%的范围内供应商完成了澳大利亚央行SAQ(2020年为88%)。从这一总数中,澳大利亚央行SAQ显示,两家供应商的总体风险很高。
我们评估了这些潜在的差距,并与这些供应商进行了接触。根据我们的评估,我们确定风险与实际违规或事件无关-我们的结论是高风险被高估了,不需要改进计划,我们调整了评分。关于人权风险,澳大利亚国家统计局表示,一家供应商的劳动力风险很高。根据我们的评估,我们得出结论,这一风险与管理制度有关,而不是实际侵犯人权的行为。更多细节可以在下表中找到。
| | | | | | | | | | | | | | | | | |
| | 从澳大利亚央行SAQ确定的高风险数量 |
标准 | 澳大利亚央行承诺 | 2020 | 2021 | | 主要发现 |
劳工 | 维护所有工人的人权(直接和间接),并按照包括国际劳工组织(劳工组织)八项基本公约在内的国际社会的理解,以尊严和尊重的方式对待他们。 | 1 | 0 | | •自己的管理系统,但未经第三方验证 •未公开报告劳动力指标 |
健康与安全 | 将工伤和疾病的发生率降至最低,并确保安全和健康的工作环境。沟通和教育对于确定和解决工作场所的健康和安全问题至关重要。 | 0 | 0 | |
|
环境 | 环境责任是生产世界级产品和服务不可或缺的一部分。在保障公众健康和安全的同时,将对社区、环境和自然资源的不利影响降至最低。 | 0 | 0 | |
|
伦理学 | 为了履行社会责任并在行业中取得成功,应坚持最高道德标准,包括但不限于商业诚信、反贿赂和腐败、反垄断和竞争、保护隐私。 | 1 | 0 | | •自己的管理系统,但未经第三方验证 •没有公开报道与道德相关的指标 |
成员和参与者致力于建立管理制度,以确保: |
•遵守适用的法律、法规和客户要求 •符合规范标准 •识别和缓解操作风险 •促进持续改进。 |
在旅行限制和其他新冠肺炎衡量标准中,我们没有进行供应商现场审计。我们认为这是一个改进的领域,并审查了我们以前的审计方法。我们正在考虑是否让第三方审计师参与进来。我们将于2022年完成审查并开始实施。
供应商可持续发展计划
我们的供应商可持续发展计划专注于七个组成部分--供应商行为准则(RBA)、澳洲央行自我评估、负责任的矿产采购、减少碳足迹、提高再利用能力和减少浪费、信息安全和业务连续性--解决我们第一级供应链中的劳工、人权、安全、道德和环境风险。
在我们的供应商可持续发展计划中,一个重要的元素就是“意向书”。通过签署本意向书,供应商同意继续遵守最新版本的《澳大利亚央行行为准则》,衡量和分享其CO2与生态系统合作伙伴提供排放数据,制定雄心勃勃的减排目标2并与ASML和生态系统合作伙伴合作,重新制造使用过的系统部件、工具、包装和其他材料,以最大限度地实现材料的再利用。
我们的表现和进步
到2021年底,超过50%的第一阶段推广范围内的供应商签署了意向书,超过了我们最初设定的20%的入职目标。通过意向书,我们的供应商承认共同承担减少集体环境足迹的责任和承诺,特别是在CO方面2排放有助于我们的范围3减少,废物有助于我们的再利用雄心。更多内容请阅读:我们在2021年的表现-环境-循环经济-重复使用安装基础上的部件和材料。
减少CO排放2排放和废物
2021年,我们在供应商可持续发展计划方面迈出了重要的一步,雄心勃勃地要联手实现到2030年实现净零排放的全球目标。我们向排名前60位的供应商推出了这一计划,目的是随着时间的推移逐步扩大范围。我们认识到我们的供应商在CO方面处于不同的成熟阶段2排放和减少废物的雄心,从先进的目标设定和业绩到尚未开始衡量其环境足迹,各不相同。使用CO2根据我们供应商的排放数据,我们的目标是在2022年设定一个基线,并与他们就减排目标达成一致。
冲突矿物
与电子行业的许多公司一样,我们的产品含有产品功能或生产所必需的矿物和金属。这类矿物和金属包括钽、钨、锡和金,它们是3TG矿物,或所谓的冲突矿物。在我们产品的生产过程中,我们并没有大量使用这些3TG矿物质。然而,需要某些3TG矿物质来开发我们的产品并使其发挥作用。例如,金被用来涂覆关键的电子连接器,锡被用来焊接电子元件和产生EUV光。
我们根据经合组织《受冲突影响地区和高风险地区负责任的矿产供应链尽职调查指南》(《经合组织指南》)提出的五步框架的法律要求和指导方针,采取了一系列合规措施。作为我们负责任的采购计划的一部分,我们进行合理的原产国调查。为此,我们专注于五个领域,包括强大的管理系统、风险识别、风险缓解、与负责任矿产倡议(RMI)组织的行业合作以及公共报告。
尽管不断努力,我们仍无法确定我们产品中包含的所有3TG矿物的确切来源。这是由于几个原因:3TG供应链的复杂性,追踪源头的供应商层级数量,以及为所有冲突矿物认证的无冲突冶炼厂数量有限。从我们的供应链中获得正确的数据是一个挑战,但我们在这方面继续努力。我们继续鼓励我们的供应商根据适用的冲突矿产规则和法规,在其供应链中追踪3TG矿产的来源。此外,我们要求我们的供应商将未在澳大利亚央行冶炼厂名单上列出或确定的冶炼厂报告给澳大利亚央行进行审计。有关更多信息,请参阅www.asml.com上提供的我们的冲突矿产报告。
我们的供应链KPI
下表显示了关键绩效指标(KPI)和相关的2025年目标。阅读更多内容:非财务报表-非财务指标-我们的绩效指标(PI)和相关结果的供应链。 由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。
| | | | | | | | | | | | | | |
关键绩效指标 | 2019 | 2020 | 2021 | 2025年目标 |
非洲区域局完成的自我评估(%)1 | 78 | % | 88 | % | 89 | % | 90 | % |
对可持续发展要素面临高风险的供应商进行了评估并同意后续行动(单位:%) 2 | 25 | % | 0 | % | 100 | % | 100 | % |
1.该指标显示了完成年度RBA自我评估问卷(SAQ)的范围内主要供应商的百分比。
2.零供应商被确定在可持续发展要素方面存在高风险。
为联合国可持续发展目标做出贡献
本章描述的我们的雄心、承诺和计划有助于实现以下可持续发展目标。 有关业绩的更多信息,请阅读更多内容:非财务报表-非财务指标-我们的供应链。
| | | | | |
SDG目标 | 我们如何衡量业绩 |
可持续发展目标目标8.8 -保护劳工权利,为所有工人(包括移民工人,特别是女性移民和就业不稳定的人)促进安全可靠的工作环境
| •遵守RBA行为准则 •非洲区域局自我评估问卷填写情况 •对在可持续性要素方面具有高风险的供应商进行评价并商定后续行动 |
可持续发展目标12.2--到2030年,实现自然资源的可持续管理和高效利用 | •推行循环采购 |
公司治理
我们赞同良好的公司管治的重要性,其中独立性、问责性和透明度是最重要的元素。这些也是我们与利益攸关方之间建立信任关系的基础。
ASML Holding N.V.是一家根据荷兰法律运营的上市有限责任公司。阿斯麦的股票在泛欧交易所、阿姆斯特丹和纳斯达克上市。
我们有一个两级董事会结构,包括一个负责管理公司的管理委员会和一个独立的监督委员会,负责监督管理委员会并向其提供建议。就履行其职责而言,两个董事会均向股东大会负责,股东大会是代表我们股东的法人团体。
我们的治理结构基于ASML的公司章程、荷兰公司法和证券法以及《荷兰公司治理守则》。由于我们在纳斯达克上市,因此我们还必须遵守萨班斯-奥克斯利法案、纳斯达克上市规则以及美国证券交易委员会颁布的规则和法规的适用条款。
我们受适用于大公司的荷兰法律相关条款的约束(结构性政体)。这些规定的效果是将对某些公司决策和交易的控制权集中在监事会手中。管理委员会成员和监事会成员的任免程序以结构性政体.
本年度报告的这一部分介绍了我们的公司治理结构以及ASML应用《荷兰公司治理准则》的原则和最佳实践的方式。它还提供了通过与管理报告内容有关的进一步规则的法令和执行《接管指令》第10条的法令所要求的资料。
根据荷兰公司治理守则(https://www.mccg.nl/english),),本年度报告的其他部分阐述了我们旨在创造长期价值的战略和文化、我们的价值观和行为准则,以及我们内部控制和风险管理系统的主要特点。阅读更多内容:我们是谁,我们做什么-我们的公司,我们在半导体价值链中的位置-我们的战略,我们在2021年的表现-我们如何创造价值,我们在2021年的表现-治理-我们如何管理风险。
ASML公司治理结构
管理委员会
ASML的管理委员会负责管理ASML。其职责包括建立关于ASML及其业务的长期价值创造的相关性的立场,定义和部署ASML的战略,建立和维护有效的风险管理和控制系统,管理ASML运营和财务目标的实现以及与ASML相关的企业社会责任方面。在履行其管理任务和责任时,管理委员会以ASML及其业务的利益为指导,并考虑到我们利益相关者的利益。
目前的管理委员会由五名成员组成。公司实行双重领导结构,董事长总裁兼首席执行官,副董事长总裁兼首席技术官。管理委员会通过了任务分工,向个别成员收取管理任务的具体部分,但管理委员会仍然集体负责非政府组织的管理。
管理委员会受监事会的监督和建议。管理委员会以书面或其他形式向监事会提供监事会适当履行其职责所需的所有信息。除了在定期会议上提供的信息外,管理委员会还定期向监事会提供与我们的业务、财务、运营和行业总体发展相关的最新发展情况。管理委员会的某些重要决策需要得到监事会的批准,请参阅本公司治理章节的监事会部分。
关于管理委员会的一般责任、与监督委员会和各利益攸关方的关系、管理委员会内部的决策进程以及会议的后勤安排的进一步信息,可参阅管理委员会的议事规则。这些都发表在我们网站的治理部分。
委任
管理委员会成员由监事会根据遴选和提名委员会的建议并经通知大会后任命。管理委员会成员的任期为四年。连任四年是有可能的。
根据荷兰法律,管理委员会的所有成员在任期内均通过管理服务协议聘用。
ASML与管理委员会成员之间的管理服务协议载有关于遣散费的具体规定。如果ASML终止协议的原因并非完全或主要是管理委员会成员的作为或不作为,则将支付不超过一年基薪的遣散费。此外,现行协议规定,管理委员会成员在因控制权变更而发出解雇通知时,有权获得离职金。鉴于该辞职与控制权变动有具体关联,ASML不认为该规定偏离荷兰公司治理守则。
监事会可以将管理委员会成员停职和解职,但这必须在征求股东大会意见后才能完成。
有关2021年管理委员会变动的更多信息,可在本年度报告中的监事会报告中找到。
彼得·T·F·M·温宁克(1957,荷兰)
总裁,首席执行官兼管理委员会主席
任期到2022年
彼得·温宁克于2013年成为总裁兼首席执行官,自1999年以来一直担任执行副总裁、首席财务官和管理委员会成员。Peter之前是德勤会计师事务所的合伙人,专注于半导体行业。他在金融方面有广泛的背景,是荷兰注册会计师协会的成员。彼得在2021年12月31日之前一直是总部基地Stichting Pensioenfonds投资委员会顾问委员会的成员。他是FME-CWM董事会的副主席,也是埃因霍温地区工业领袖委员会的成员,也是埃因霍温工业大学法布里坎特环和监事会的主席。此外,Peter还是Topconortium Voor‘Kennis en Innovatie’TKI HTS&M的理事会成员,荷兰国家增长基金咨询委员会成员和Startup Delta影响力圈成员。
马丁·范·登·布林克(1957,荷兰)
总裁,首席技术官兼管理委员会副主席
任期到2022年
马丁·范·登·布林克自2013年以来一直担任阿斯麦的总裁兼首席技术官。1984年ASML成立时,他加入了ASML,在接下来的11年里,他在工程领域担任过各种职位。1995年任总裁科技副总裁,1999年任总裁产品技术常务副董事长兼管理委员会成员。Martin拥有汉城大学HTS Arnem的电气工程学位,以及Twente大学的物理学学位(1984)。2012年,阿姆斯特丹大学授予他物理学荣誉博士学位。
罗杰·J·M·达森(1965,荷兰)
常务副总裁兼首席财务官
任期到2022年
罗杰·达森于2018年6月加入ASML,同年在年度股东大会上被任命为执行副总裁总裁和首席财务官兼管理委员会成员。他之前曾担任德勤控股有限公司全球副主席兼执行董事会成员,曾任德勤控股有限公司首席执行官。罗杰拥有马斯特里赫特大学经济学和工商管理硕士学位、审计硕士学位和工商管理博士学位。他是阿姆斯特丹Vrije University审计教授,也是荷兰国家银行监事会成员。他也是马斯特里赫特大学医学中心+的监事会主席。
克里斯托夫·D·福凯(1973年,法语)
总裁常务副驾驶电动车
任期到2022年
2018年,克里斯托夫·福奎被任命为执行副总裁总裁,并担任EUV管理委员会成员。自2008年加入ASML以来,他曾担任过多个职位,包括董事高级营销总监总裁产品经理和总裁应用副总裁(他于2013年至2018年担任该职位)。在加入ASML之前,他曾在半导体设备同行KLA Tencent or和应用材料公司工作过。克里斯托夫拥有格勒诺布尔理工学院的物理学硕士学位。
弗雷德里克·J·M·施耐德-毛努里(1961年,法国)
常务副总裁兼首席运营官
任期到2022年
弗雷德里克·施耐德-毛努里自2009年加入ASML以来,一直担任总裁执行副总裁兼首席运营官。他于2010年被任命为管理委员会成员。在加入ASML之前,弗雷德里克曾在发电和轨道交通设备集团阿尔斯通担任总裁热力产品制造副总裁,此前曾担任阿尔斯通全球水电业务总经理。在加入阿尔斯通之前,弗雷德里克在法国贸易和工业部担任过各种职位。他毕业于巴黎理工学院(Ecole Polytech)(1985年)和国家矿业学院(Ecole National Supérieure des Mines)(1988年)。
监事会
我们的监事会监督管理委员会和ASML及其子公司的一般事务过程。监事会还向管理委员会提供咨询意见。在履行其作用和责任时,监事会考虑到ASML及其子公司的利益,以及其利益攸关方的相关利益。在两级结构中,监事会是一个独立于管理委员会和反洗钱法的独立机构。除作为监事会成员外,没有任何监事会成员亲自与ASML保持业务关系。
监事会目前由8名成员组成,最少为3名。
在履行其任务时,监事会除其他外,重点关注ASML旨在创造长期价值及其执行的公司战略、管理委员会的人员配置和继任规划、管理ASML业务活动所固有风险的管理、财务报告程序、遵守适用的法律和条例、ASML的文化和管理委员会在这方面的活动、与股东和其他利益攸关方的关系,以及对ASML重要的公司社会责任问题。
重要的管理决策,如确定业务和财务目标、为实现这些目标而设计的战略和适用的参数、重大投资、预算以及股票的发行、回购和注销,都需要得到监事会的批准。
监事会受其议事规则管辖。这些规则涵盖的事项包括监事会及其各委员会的职责、监事会及其各委员会的组成、围绕会议的后勤、监事会成员出席会议的情况、这些成员的轮换时间表以及委员会章程。监事会的议事规则和委员会章程定期进行审查,并在必要时进行修订。审计委员会章程每年审查一次,以确认章程仍然符合适用的规章制度,特别是与萨班斯-奥克斯利法案有关的规章制度。
有关监事会2021年会议和活动的更多信息,请参阅:监事会-监事会报告-会议和出席。
委任
监事会成员由股东大会根据监事会提出的具有约束力的提名任命。在提名(重新)任命的人时,监事会检查候选人是否符合监事会的要求。该简介可在我们网站的治理部分找到。股东大会可通过以绝对多数票通过的决议否决监事会的有约束力的提名,该决议至少占ASML已发行股本的三分之一。如果赞成这一决议的票数不超过总已发行资本的三分之一,可以召开新的股东大会,在会上可以以绝对多数推翻提名。
监事会一般于实际退任前一年的股东周年大会(股东周年大会)上轮流向股东大会及劳资委员会通知即将退任的人士(S)轮值退任。这确保他们有足够的机会为即将到来的空缺推荐候选人。监事会有权拒绝拟议的建议。此外,劳资委员会有更大的权利向三分之一的监事会成员提出建议。这一增强的推荐权意味着,监事会只有在以下情况下才能拒绝工务委员会的建议:(1)如果有关人员不合适,或(2)如果被推荐人被任命为监事会成员,监事会将不会正式组成。
根据监事会的轮换时间表,监事会成员的任期最长为四年或较短的任期。监事会成员有资格连任,任期最长为四年。在此之后,成员可再次获得连任,最长任期为两年。这一任命的最后任期可延长不超过两年。轮换时间表可在我们网站的治理部分找到。
如果股东大会对监事会失去信心,它可以绝对多数票撤回对监事会的信任,该绝对多数票至少占已发行资本总额的三分之一。这项决议将导致立即解散整个监事会。在这种情况下,阿姆斯特丹上诉法院企业商会应根据管理委员会的要求任命一名或多名监事会成员。
监事会委员会
监事会在保留总体责任的同时,将其一些任务和责任分配给四个委员会:审计委员会、薪酬委员会、遴选和提名委员会和技术委员会。关于监事会委员会的更多信息可以在监事会报告中找到,也可以在我们网站上公布的委员会章程中找到。
Gerard J.Kleisterlee(1946,荷兰)
自2015年起担任监事会成员;第二任期于2023年届满
监事会主席、评选提名委员会主席、技术委员会委员
Gerard Kleisterlee于2015年加入监事会,自2016年以来一直担任监事会主席。他于2001年至2011年担任皇家飞利浦NV董事会主席兼首席执行官,自1974年以来一直在该公司工作。2011至2022年间,Gerard担任沃达丰集团董事会主席。2010年至2020年5月,担任荷兰皇家壳牌石油公司-B董事非执行董事。目前,杰拉德是IBEX有限公司的独立董事会成员。
Antoinette(Annet)P.Aris(1958,荷兰)
自2015年起担任监事会成员;第三届任期将于2024年届满
2021年起任监事会副主席,薪酬委员会、技术委员会、遴选提名委员会委员
安妮特·阿里斯自2015年以来一直是监事会成员。她是法国欧洲工商管理学院战略学高级副教授,自2003年以来一直担任这一职位。从1994年到2003年,她是德国麦肯锡公司的合伙人,直到2019年,她一直是托马斯·库克集团的非执行董事董事。她还是Jungheinrich AG、Randstad Holding NV和Coop ative Rabobank U.A.的监事会成员。
约翰尼斯(汉斯)M.C.斯托克(1954,美国)
自2014年起担任监事会成员;第二届任期将于2022年届满
技术委员会和薪酬委员会成员
汉斯·斯托克于2014年加入监事会。他是高级副总裁,安森美半导体公司的首席技术官,他自2011年以来一直担任这个职位。在此之前,汉斯曾担任过一系列高级职位,包括IBM公司的高级经理、惠普公司超大规模集成电路研究实验室的董事、德克萨斯仪器公司的高级副总裁兼首席技术官以及应用材料公司的集团副总裁兼首席技术官总裁。他还一直是Sematech的董事会成员,目前是IMEC的科学顾问委员会成员。
马克。M.D.杜坎(1961年,美国)
自2020年起担任监事会成员;第一届任期将于2024年届满
技术委员会主席、遴选和提名委员会成员
马克·杜尔坎于2020年被任命为监事会成员。2012年至2017年,他担任美光科技公司首席执行官,1984年加入公司,并在被任命为首席执行官之前担任过各种管理职位。此外,马克是飞思卡尔半导体和MWI兽医供应公司的董事员工。此外,他还是美国卑尔根公司董事会成员、美国莱斯大学(德克萨斯州)董事会成员、圣卢克医疗系统公司(爱达荷州)董事董事会成员和自然智能系统CA Private AI公司董事董事会成员。创业公司。
特里·L·凯利(1961,美国人)
自2018年起担任监事会成员;第一届任期将于2022年届满
薪酬委员会主席、遴选和提名委员会委员
特里·凯利自2018年以来一直是监事会成员。在此之前,她于2005年至2018年担任W.L.Gore&Associates首席执行官兼总裁,自1983年以来一直在Gore担任各种管理职务。她还在戈尔的董事会任职至2018年7月。特里是内穆尔基金会的受托人、特拉华大学的副主席和Unidel基金会的受托人。她也是联合租赁公司的董事会成员。
罗尔夫-迪特尔·施瓦布(1952,德语)
自2015年起担任监事会成员;第二任期于2023年届满
审计委员会主席和薪酬委员会成员
罗尔夫-迪特尔·施瓦布自2015年以来一直是监事会成员。2006年至2014年,他担任皇家帝斯曼NV的首席财务官和管理委员会成员。在此之前,他是拜尔斯多夫股份公司的首席财务官和执行董事会成员。他还在拜尔斯多夫股份公司和宝洁担任过财务、IT和内部审计方面的各种管理职位。
沃伦·D.A.伊斯特(1961年,英国)
自2020年起担任监事会成员;第一届任期将于2024年届满
审计委员会委员
沃伦·伊斯特于2020年成为监事会成员。沃伦自2015年以来一直担任劳斯莱斯集团的首席执行官。1985年至1994年,他在德克萨斯仪器有限公司度过了他的早期职业生涯。然后,他加入了ARM Holdings,Plc,在那里他担任了各种管理职位,并于2001年至2013年被任命为首席执行官。
比尔吉特·科尼克斯(1965年,比利时人)
自2021年起担任监事会成员;第一届任期将于2025年届满
审计委员会委员
比尔吉特·科尼克斯于2021年成为监事会成员。自2021年6月以来,Birgit一直担任Sonova Holding AG的首席财务官和管理委员会成员。从2018年到2021年1月1日,比尔吉特是途易股份公司执行董事会成员兼首席财务官。在此之前,她是比利时媒体、有线电视和电信公司Telenet Group NV的首席财务官。在此之前,她曾在强生、喜力、田纳科和里德·埃尔塞维尔担任过各种财务管理职位。
与执行局有关的其他事项
下一节讨论管理委员会和监事会都适用的若干专题。
薪酬和股份所有权
管理委员会的薪酬由监事会根据薪酬委员会的建议,根据股东大会通过的薪酬政策确定。现行的薪酬政策是2021年大会通过的。
监事会的薪酬是根据薪酬政策确定的。现行的薪酬政策是2021年大会通过的。监事会的薪酬并不取决于我们的(财务)结果。监事会成员不会获得ASML股票或收购ASML股票的权利,作为其薪酬的一部分。
获得或已经获得ASML股份或收购ASML股份的权利的管理委员会和监事会成员必须打算将这些股份仅用于长期投资。在完成ASML股票交易时,管理委员会和监事会成员必须遵守我们的内幕交易规则。管理委员会和监事会成员对ASML股票进行的任何交易都要向荷兰AFM报告。目前没有任何监事会成员拥有任何ASML股份或收购ASML股份的权利。
我们不会也不会向管理委员会和监事会成员提供任何个人贷款、担保或类似的东西。
我们的《公司章程》规定,管理委员会和监事会成员不得因其任务的直接结果而提出索赔,但此类索赔不得归因于各自成员的故意不当行为或故意鲁莽。我们还通过对每名成员分别签署赔偿协议,对管理委员会和监督委员会的成员进行了赔偿。
关于管理委员会和监事会薪酬的详细信息可在监事会-薪酬报告中找到。
多样性
2021年8月6日,美国证券交易委员会批准了纳斯达克股票市场修订上市标准的提案,以鼓励董事会多元化,并要求纳斯达克上市公司披露董事会多元化。根据修订的上市标准,ASML作为一家外国私人发行人,必须至少有两名不同的监事会成员,或解释未能达到这一目标的原因。此外,要求在表格20-F的年度报告中列入董事会多样性汇总表,其中载有关于监事会成员的某些人口统计资料和其他信息。ASML目前符合多样性要求,因为我们目前在监事会中有三名女性和五名男性成员。董事会多样性矩阵如下所示。
董事会多样性矩阵(2021年12月31日状况)
| | | | | | | | | | | | | | |
主要执行机构所在国家/地区 | 荷兰 |
外国私人发行商 | 是 |
母国法律禁止披露 | 不是 |
监事会成员总数 | 8 (2020: 9) |
| 女性 | 男性 | 非二进制 | 没有透露 |
第一部分:性别认同 |
董事 | 3 (2020: 3) | 5 (2020: 6) | 0 (2020: 0) | 0 (2020: 0) |
第二部分:人口统计背景 |
在母国管辖范围内任职人数不足的个人 | 0 (2020: 0) | 0 (2020: 0) | 0 (2020: 0) | 0 (2020: 0) |
LGBTQI+ | 0 (2020: 0) | 0 (2020: 0) | 0 (2020: 0) | 0 (2020: 0) |
没有透露人口统计背景 | 0 (2020: 0) | 0 (2020: 0) | 0 (2020: 0) | 0 (2020: 0) |
2021年9月28日,荷兰议会通过了一项性别多样性法案,为荷兰上市公司的监事会引入了一个配额,根据该配额,监事会的组成应至少包括三分之一的男性和女性。如果不遵守这一要求,新的任命将被宣布为无效。此外,该法案还要求为大型上市和非上市荷兰NV和BV的董事会和高级管理层设定雄心勃勃的性别平衡目标。这项性别多样性法案已于2022年1月1日生效。每年,截至2022年报告年度,公司必须向荷兰社会及经济理事会和管理报告报告在实现性别均衡目标方面取得的进展。
目前,监事会符合荷兰性别多样性法案的性别标准,因为监事会中男性和女性都有代表,八名成员中至少有三名。
我们认识到多样性和包容性的重要性:多元化和包容性的员工队伍提供了继续创新和推动业务向前发展所需的各种声音和观点的必要组合。事实证明,在ASML这样的技术环境中,确保平衡的性别代表性是具有挑战性的。总体而言,全球STEM(科学、技术、工程和数学)人才库稀缺,招聘女性人才更具挑战性。我们的研发人员中有15%是女性。近90%的工作岗位与STEM相关,而高科技行业的同行有更多样化的、与STEM无关的工作岗位。ASML非常希望看到更多的妇女现在和将来在工程和科学领域从事职业,从而增加我们未来的人才库,以便将来有更多的妇女担任技术职位和(高级)管理职位,包括管理委员会。我们行业的高度专业化意味着实现这种平衡是一个长期的过程。我们正在积极参与多个教育项目,以扩大渠道,部署多项倡议,在未来的女性人才库中促进STEM教育,并继续培养一种环境,使我们现有的劳动力能够蓬勃发展。
自2020年以来,我们一直在制定和正规化我们的多样性和包容性方法。我们在2021年成立了全球多样性与包容理事会,该理事会由代表ASML采取行动的高级领导人组成,提供思想领导。理事会由管理委员会的一名成员担任主席,负责对成果进行战略问责,对多样性和包容性举措进行治理和监督,并促进全公司对目标的问责。我们的多元化和包容性战略包括以下内容:
•通过让机会更可见和更容易获得来吸引更多的人才库
•创建共享指标以更清晰地评估进度
•确保包容性领导行为植根于我们的文化
•在我们的人才实践中纳入不同的视角
•为员工提供更多参与和推动其职业生涯的方式
我们的目标是成为现有熟练劳动力的代表。创造一种环境,让所有人都感到受欢迎,知道自己的归属感,并看到摆在他们面前的职业道路,这需要组织各级的多样性。
我们的目标是通过培养一种包容所有人的文化来增加我们劳动力的多样性。我们的员工调查@ASML每年都会衡量包容程度。2021年,我们的纳入得分为83%,而全球表现最好的公司的这一比例为82%。我们的目标是在持续的基础上达到或提高员工的包容性。要做到这一点,我们设定的目标是在2024年与这份比较公司名单中排名前25%的公司取得+/-3%的平杆得分。
2021年,我们在全体员工和高级管理人员的性别多元化方面取得了进展。女性员工现在占我们全球员工总数的18%。与去年相比,这一改善增加了1%。我们的目标是在迈向2024年的过程中加强这一趋势。
我们认为,解决这一问题的最有效方法是专注于我们现有团队成员的增长,并扩大我们人才库的多样性。我们已经制定了目标,到2024年将女性的招聘比例从2021年的20%提高到23%。
我们在这一领域仍有工作要做,并已制定了侧重于女性领导层的具体目标。目前女性在这一级别的比例为8%,我们的目标是到2024年达到12%。为了实现这一目标,我们制定了一个目标,将女性领导者的招聘比例从2021年的12%提高到2024年的20%。我们相信,这些人才库将成为榜样,为更多人铺平道路。我们的雄心是让我们的员工队伍更加多样化,因为我们相信这是吸引和留住聪明人才的最佳方式之一,以帮助我们推动技术创新,以满足客户的需求。有关我们的多元化和包容性战略、倡议、女性领导和业绩数据的更多信息,请参阅:我们2021年的业绩-社会-我们的员工-员工经历和非财务报表-非财务指标-我们的员工。
利益冲突和关联方交易
利益冲突程序纳入了管理委员会和监督委员会的议事规则。这些程序反映了荷兰法律以及《守则》关于利益冲突的原则和最佳做法规定。
2021年,除正常的薪酬安排外,ASML或ASML的任何子公司、任何大股东与任何管理委员会成员、官员、监事会成员或其任何亲属或配偶之间没有任何交易,目前也没有任何交易。此外,ASML没有向管理委员会或监事会成员提供任何个人贷款、担保或类似的东西。
外部仓位
根据荷兰法律,管理委员会成员不得是荷兰法律所界定的另外两家以上大公司或大型基金会的监事会成员。管理委员会成员不得担任大公司监事会主席。管理委员会成员在接受另一家大公司或基金会的职位之前,必须事先获得监事会的批准。管理委员会成员还必须向监事会通报他们已履行或将履行的其他重要职能。
荷兰法律规定,监事会成员不得在荷兰法律定义的大公司或大型基金会中担任超过五个监事会职位,董事长职位计算两倍。
在2021年财政年度,管理委员会和监事会的所有成员都遵守了上述要求。
股东大会
股东大会(AGM)每年至少举行一次,通常在荷兰的维尔德霍温举行。然而,由于新冠肺炎疫情的爆发,根据《新冠肺炎司法与安全暂行法案》,2021年的年度股东大会完全是虚拟举行的。年度股东大会的议程通常包括以下主题:
•讨论管理报告和通过上一个财政年度的财务报表;
•讨论股息政策及批准任何建议股息;
•就过去一个财政年度的薪酬报告进行咨询性投票;
•免除管理委员会和监事会成员在上一个财政年度履行职责的责任;
•管理委员会有限授权发行ASML资本中的股份(权利),并排除此类发行的优先购买权,以及回购股份和注销股份;以及
•管理委员会、监事会或股东根据荷兰法律和公司章程提出的任何其他议题。
由监事会、管理委员会或股东提上议程的提案,只要他们已根据适用的法律规定提交提案,就会得到讨论和解决。代表ASML已发行股本至少1.0%或代表至少5000万欧元股票价值的股东有权在大会日期前最迟60天将项目列入股东大会议程。
监事会或管理委员会认为必要时,可召开临时股东大会。此外,如果一个或多个普通股或累计优先股股东(合计占已发行股本至少10%)向监事会和管理委员会提出书面要求,则必须召开股东特别大会。请求必须详细说明要处理的事务。
根据荷兰法律的规定,股东大会不迟于会议前42天通过ASML网站发布公告召开。记录日期设定在年度股东大会当天前28天。在记录日期登记为股东的人有权出席会议并行使其他股东权利。
管理委员会和监事会通过对议程的解释以及为此目的必要或有帮助的其他文件,向股东提供与议程上的议题有关的信息。议程表明哪些议程项目是表决项目,哪些项目仅供讨论。所有与大会有关的文件,包括议程和解释,都张贴在我们的网站上。
ASML股东可以指定一名代表,代表他们在年度股东大会上投票。我们还使用互联网代理投票系统,方便股东参与,而不必亲自出席。我们还为股东提供了在年度股东大会之前向独立的民法公证人发出投票委托书或投票指示的选项。我们不向我们的股东征集或提名代理人。
虚拟年度股东大会
鉴于新冠肺炎疫情,我们在2021年组织了一次完全虚拟的年度股东大会,使股东能够通过视频网络直播关注会议过程并在会议期间进行电子投票,从而便于虚拟出席年度股东大会。除了通过书面或电子委托书提前投票的机会外,实际上还提供了参加年度股东大会的机会。由于我们高度重视与股东的互动,我们邀请股东在年度股东大会之前提交有关议程项目的问题,我们为在阿姆斯特丹泛欧交易所交易的股票持有人提供了通过虚拟会议平台或通过视频连接以书面或口头方式提出现场问题的机会。我们在会前和会中共收到了19个问题。所有问题都在年度股东大会上得到了回答。
决议由股东大会以绝对多数票通过(除非公司章程或荷兰法律要求不同比例的票数),这类会议一般没有法定人数要求。
股东周年大会的投票结果于会议召开后15日内于本公司网站公布。股东周年大会的报告草稿于会议结束后三个月内登载于我们的网站或应要求查阅。股东有机会于其后三个月提供意见,其后报告由主席及会议秘书采纳。通过的报告也可在我们的网站上查阅,并应要求查阅。
权力
除每年在股东周年大会上提交的项目外,股东大会亦拥有其他权力,并适当遵守法定条文。其中包括解决:
•修改公司章程;
•在股东大会尚未为此目的指定管理委员会的情况下,发行股份;以及
•采纳管理委员会和监事会成员的薪酬政策。
公司章程的修订(建议)须经监事会批准。股东大会如提出修订组织章程细则之法定人数规定:须有过半数已发行股本出席;有关建议须获得最少四分之三之多数票。如未达到法定人数要求,应在第一次会议后四周内召开后续股东大会。在该第二次会议上,不论所代表的股本为何,决议案可获最少四分之三的票数通过。倘管理委员会提呈修订组织章程细则之决议案,则不论股东大会上所代表之股本,该决议案将以绝对多数票通过。
本公司于2013年2月8日向美国证券交易委员会提交的6-K表格(下称“本公司章程”)的附件99.1列出了本公司章程中最重要的条款,并将其并入本文作为参考。
股本
ASML的法定股本为126.0欧元,分为:
| | | | | | | | | | | |
股份类型 | 股份的数额 | 名义价值 | 每股投票权 |
累计优先股 | 700,000,000 | 每股0.09欧元 | 9 |
普通股 | 699,999,000 | 每股0.09欧元 | 9 |
普通股B | 9,000 | 每股0.01欧元 | 1 |
已发行并缴足面值为 €0.09 每一项都如下:
| | | | | | | | | | | |
截至十二月三十一日止的年度 | 2019 | 2020 | 2021 |
发行面值0.09欧元的普通股 | 419,810,706 | | 416,514,034 | | 402,601,613 | |
发行面值0.09欧元的普通库存股 | 5,848,998 | | 2,983,454 | | 3,873,663 | |
已发行普通股总额,面值0.09欧元 | 425,659,704 | | 419,497,488 | | 406,475,276 | |
82,915,935股普通股由286名注册地址位于美国的登记持有人持有。由于我们的若干普通股由经纪及代名人持有,美国纪录持有人的数目未必代表实益持有人的数目或实益持有人的居住地。
每股普通股由以下部分组成900 零碎股份。零碎股份赋予其持有人享有零碎股息的权利,但并不赋予其投票权。只有直接在荷兰股份登记册持有股份的人士,由我们在我们的地址为5504 DR Veldhoven,de Run 6501,the Netherlands持有,或在纽约股份登记册中由JP Morgan Chase Bank,N.A.持有,P.O. Box 64506,St. Paul,MN 55164—0506,美国,可以持有零碎股份。股东通过荷兰中央证券存管机构Euroclear Nederland维持的荷兰证券银行转罗交易法下的存款系统或通过存管信托公司持有普通股,不能持有零碎股份。
没有发行普通股B股,也没有发行累积优先股。
特别表决权、限制表决权和股份转让
本集团股本中已发行股份并无特别投票权。
2012年,我们向三家主要客户-英特尔、台积电和三星-发行了股票,作为客户共同投资计划(CCIP)的一部分,以加快ASML对EUV的开发。根据这一计划,参与客户为某些开发项目提供资金,并投资于ASML的普通股。目前,只有一个参与客户仍然(直接或间接)持有普通股。某些投票权限制适用于与CCIP相关的普通股。有关该等普通股的投票限制载于ASML与有关客户之间的相关协议。T在CCIP中发行的股份由向CCIP参与者发行存托凭证的基金持有。共计96,566,077普通股存托凭证于CCIP推出时发行。该数字自禁售期届满后随相关客户抛售而减少。
目前,根据荷兰法律或ASML的公司章程,ASML股本中的普通股转让没有限制。根据ASML的公司章程,每次转让累积优先股都需要监事会的批准。
发行和回购股份(权利)
本公司董事会有权发行普通股及累积优先股,惟须获股东大会授权。管理委员会需要得到监事会的批准才能解决这个问题。大会的授权只能在不超过五年的一定期限内给予,每次可以延长不超过五年。如果股东大会没有授权管理委员会发行股票,股东大会将被授权根据管理委员会的提议发行股票,前提是监事会批准了这种提议。
ASML普通股的持有人拥有优先购买权,优先购买权与他们持有的普通股的总面值成比例。这种优先购买权可以受到限制或排除。普通股持有人对除现金或向雇员发行的普通股以外的任何以对价发行的普通股没有优先购买权。如果股东大会为此授权,管理委员会经监事会批准,有权限制或排除普通股持有人的优先购买权。
2021年授权发行股票
在我们的2021年年度股东大会上,管理委员会被授权在2021年4月29日至2022年10月29日期间,根据监事会的批准,发行股份和/或其权利,最多占2021年4月29日我们已发行股本的5%,外加2021年4月29日我们已发行股本的5%,这些股份和/或权利可能与合并、收购和/或(战略)联盟有关而发行。我们的股东还授权管理委员会在2022年10月29日之前,根据监事会的批准,限制或排除普通股持有人的优先购买权,最多不超过我们已发行股本的5%,与发行股份和/或股份权利的一般授权有关,以及与授权发行股份和/或与合并、收购和/或(战略)联盟有关的股份权利的额外5%。
我们可以随时回购我们已发行的普通股,但要符合荷兰法律和我们的公司章程的要求。任何此类回购均须经监事会批准和股东大会授权,授权期限不得超过18个月。
2021年授权回购股份
在2021年年度股东大会上,管理委员会被授权在2022年10月29日之前进行回购,回购金额最多为2021年4月29日已发行股本的两倍,回购价格介于所购普通股面值和这些证券在泛欧交易所阿姆斯特丹交易所或纳斯达克市场价格的110%之间。
有关我们的股票回购计划的更多详细信息,请参阅:合并财务报表-合并财务报表附注-附注22股东权益。
ASML优先股基金会
根据荷兰法律成立的ASML优先股基金会(Stichting Pferente Aandelen ASML)已被授予获得ASML股本中优先股的选择权。基金会可在基金会董事会认为涉及ASML的利益、ASML的业务或ASML的利益相关者的利益的情况下行使优先股选择权。在以下情况下可能会出现这种情况:
•对ASML股票的公开竞购被宣布或提出,或者有理由预期将在没有与ASML就此类竞购达成任何协议的情况下进行此类竞购;或
•基金会董事会认为,一个或多个股东联合行动(企图)行使投票权,与ASML的利益、ASML的业务或ASML的利益相冲突。
基金会的目标
基金会的目标是照顾到反兴奋剂机构及其所维持和/或隶属于该集团的企业的利益,以尽可能好的方式维护该协会、这些企业和所有相关方的利益,并尽其所能阻止与这些利益相冲突的影响,这可能会影响到该协会和这些公司的独立性或身份,以及与上述有关或可能对其有利的一切。基金会旨在通过收购和持有ASML资本中的累积优先股以及行使这些股份所附带的权利,特别是投票权来实现其目标。
优先股期权
优先股购股权赋予基金会获得基金会所需数目的累积优先股的权利,但该数目的累积优先股的总面值不得超过行使优先股选择权时发行的普通股的总面值。认购价将等于它们的名义价值。在最初发行累积优先股时,只需支付认购价的四分之一,其余四分之三的面值仅在ASML召回这一金额时支付。行使优先股选择权可有效稀释已发行普通股的投票权一半。
累计优先股注销
ASML注销和偿还已发行的累积优先股需要得到股东大会的授权,由管理委员会提出并经监事会批准的相关提议。如果优先股选择权被行使,并因此发行累积优先股,ASML将应基金会的要求,启动回购或取消基金会持有的所有累积优先股。在这种情况下,ASML有义务尽快实施回购和相应的取消。注销将导致偿还已支付的金额,并免除支付累积优先股的义务。只有在累计优先股全部缴足股款后,才能进行回购。
如果基金会没有要求ASML回购或注销基金会持有的所有累积优先股, 20个月于发行该等股份时,吾等将须召开股东大会,以决定购回或注销该等股份。
董事会
该基金会独立于ASML。基金会董事会由来自荷兰商界和学术界的四名独立成员组成。基金会董事会于2021年12月31日由下列成员组成:A.P.M.范德普尔先生、S.Perrick先生、A.H.Lundqvist先生和J.Streppel先生。
除上述与基金会作出的安排外,ASML尚未建立任何其他反收购装置。
大股东
《荷兰金融市场监管法》和美国证券法对披露上市公司的资本权益和投票权作出了规定。下表列出了向荷兰AFM或美国美国证券交易委员会报告实益拥有普通股至少占我们已发行和已发行普通股的3.0%(美国证券交易委员会为5.0%)的每位股东所拥有的普通股总数。下表还包括截至2021年12月31日我们管理委员会成员拥有的普通股总数。以下列出的有关股东的信息基于截至2022年1月31日提交给美国证券交易委员会和AFM的公开文件。
| | | | | | | | |
| 股票 | 班级百分比6 |
资本研究与管理公司1 | 63,658,826 | 15.81 | % |
贝莱德股份有限公司2 | 32,024,422 | 7.95 | % |
贝利·吉福德公司3 | 18,262,995 | 4.54 | % |
ASML现任管理委员会成员(5人)4,5 | 89,892 | 0.02 | % |
1.根据2020年2月28日提交给AFM的报告,Capital Research&Management Company(“CRMC”)报告了572,929,434个投票权,相当于63,658,826股普通股(基于每股9个投票权),但不报告与这些股票相关的所有权。Capital World Investors在2020年2月14日提交给美国证券交易委员会的附表13-G/A中报告称,由于与CRMC的关系,Capital World Investors是我们34,865,768股普通股的实益拥有人。Capital World Investors是Capital Research and Management Company的一个部门,它及其投资管理子公司和联属公司凯投国际、凯投国际、凯投国际有限公司、凯投国际和凯投国际K.K.(在2021年2月16日提交给美国证券交易委员会的附表13-G/A中报告)宣布,它是我们28,032,968股普通股的实益拥有人。我们认为部分或全部这些股份包括在如上所述的由Capital Research and Management Company拥有的股份中。
2.仅根据贝莱德股份有限公司于2021年1月29日提交给美国证券交易委员会的附表13-G/A;贝莱德报告对其中28,755,630股具有投票权。2021年5月10日提交给AFM的公开文件显示,根据当时已发行股票和投票权的总数,间接资本利息总额为5.95%,投票权为5.81%。
3.2019年10月1日提交给AFM的一份公开文件显示,Baillie Gifford&Co拥有147,694,140股投票权,相当于18,262,995股(基于每股9票),但没有与这些股票相关的所有权。
4.不包括授予管理委员会成员的未归属股份。欲了解更多信息,请参阅领导力与治理-薪酬报告。
5.监事会成员不拥有任何股份。
6.占截至2021年12月31日已发行和已发行普通股总数的402,601,613股,其中不包括已发行但由ASML以国库形式持有的3,873,663股普通股。向AFM报告的股份所有权百分比是以已发行普通股(包括库存股)总数的百分比表示的,因此,此表中反映的百分比可能与向AFM报告的百分比不同。
财务报告和审计
除其他外,ASML出版了关于2021财政年度的以下年度报告:
•法定年度报告,根据荷兰法律的要求编写。财务报表是根据《荷兰民法典》第2册第9部分和欧盟《国际财务报告准则》编制的;
•表格20-F的年度报告,根据《交易法》的要求编制。其中所包括的财务报表是按照美国公认会计准则编制的。
这两份报告具有相同的定性基础,并描述了半导体行业、ASML和ASML股票特有的相同风险因素。我们还通过以下方式提供敏感度分析:
•对ASML财务报表的叙事性解释;
•应分析财务信息的背景;
•关于我们的收益和现金流的质量和可变性的信息。
关于编制年度报告的过程,我们对报告的内容和布局有广泛的指导方针。这些准则主要基于上述适用的法律和法规。关于这些和其他财务报告的编制过程,我们采用内部程序来保障该等信息的完整性和准确性,作为其披露控制和程序的一部分。披露委员会协助管理委员会监督ASML的披露活动,并确保遵守荷兰和美国法律规定的适用披露要求以及其他监管要求。审计委员会和监事会经常讨论这些内部程序。更多内容请参阅:我们在2021年的表现-治理-我们如何管理风险-企业风险管理,其中讨论了ASML的内部风险管理和控制系统。
监事会审查和批准了管理委员会编制的ASML 2021年财务报表,所有监事会成员都签署了这份报表。毕马威已经对我们的财务报表进行了适当的审查,审计师的报告包括在综合财务报表中。
外部审计
根据荷兰法律,我们的外聘审计师由股东大会根据监事会任命的提名任命。监事会的提名依据是审计委员会和管理委员会的咨询意见,这两个委员会每年向监事会提交一份关于外聘审计员的业绩、与外聘审计员的关系及其独立性的报告。ASML的现任外聘审计员毕马威于2015年首次由大会任命,担任2016报告年度的审计人员,此后每年都被重新任命。在2020年年度股东大会上,毕马威被任命为2021年报告年度的外聘审计师。
审计委员会审查和批准外聘审计员对财政年度内计划进行的审计的审计计划。除其他外,审计计划还包括外聘审计员在对年度账目以外的季度结果进行有限程序方面的活动。拟议的服务可在年初由审计委员会预先批准(年度预先批准),或在特定聘用的情况下由审计委员会在本年度预先批准(具体预先批准)。一年一度的预先审批是基于要提供的服务的详细分项清单,该清单旨在确保在确定一项服务是否已获得批准时没有管理层的自由裁量权,并确保向审计委员会通报其正在预先核准的每项服务。
荷兰法规要求荷兰公共利益实体的审计和咨询服务严格分离,美国法规限制美国上市公司的审计师可以提供的服务。荷兰法律禁止外部审计师在进行审计时接受其他服务。审核委员会监察外聘核数师所提供服务是否遵守荷兰及美国规则。
外聘审计员的报酬由审计委员会代表监事会在征求管理委员会的意见后批准。由于审计委员会在这一领域拥有最相关的洞察力和经验,监事会已将这些职责下放给审计委员会。有关首席会计师费用和服务的更多信息,请参阅:其他附录-附录-首席会计师费用和服务。
原则上,外聘审计师出席所有审计委员会会议。这些会议讨论了外聘审计员的调查结果。审计委员会向监事会报告与外聘审计员讨论的议题,包括外聘审计员关于审计年度报告的报告以及年度报告的内容。此外,外聘审计员可出席讨论年度外聘审计报告的监事会会议。外聘审计师还可以参加监事会会议,讨论季度财务结果。
如果外聘审计员发现财务报告审计内容不符合规定,审计委员会将立即得到外聘审计员的通知。
外聘核数师出席股东周年大会,回应股东就核数师就综合财务报表报告提出的问题(如有)。
内部审计
我们内部审计职能的作用是通过执行独立的程序,如基于风险的运营审计、IT审计和合规审计,来评估我们的内部控制系统。内部审计部门直接向审计委员会和管理委员会报告。年度内部审计计划由审计委员会、管理委员会和监事会讨论并批准。每季度与审计委员会讨论内部审计结果的后续行动和与计划相比取得的进展。外部审计师和内部审计部定期举行会议。
企业信息
ASML Holding N.V.是一家通过其附属公司运营的控股公司。我们在荷兰、美国、意大利、法国、德国、英国、爱尔兰、比利时、韩国、台湾、新加坡、中国、香港、日本、马来西亚和以色列均设有营运附属公司。更多内容请参阅:展品索引-附件8.1-主要子公司名单。
美国上市要求
由于阿斯麦的纽约股票在纳斯达克(以下简称“纳斯达克”)上市,因此纳斯达克公司治理标准原则上适用于我们。然而,纳斯达克规则规定,除某些例外情况外,外国私人发行人可以遵循本国的做法,而不是纳斯达克的公司治理标准。我们的公司治理实践主要基于荷兰的要求。下表列出了基于上述例外情况,反垄断法替代纳斯达克规则所遵循的做法。
| | | | | |
法定人数 | ASML没有遵循纳斯达克适用于普通股东大会的法定人数要求。根据荷兰法律和普遍接受的荷兰商业惯例,ASML的公司章程规定,股东大会没有普遍适用的法定人数要求。 |
征求委托书 | ASML没有遵循纳斯达克在征集委托书和提供股东大会委托书方面的要求。ASML为股东大会提供委托书并征集委托书。荷兰公司法规定,荷兰上市公司的强制性(参与和投票)日期为股东大会日期前28天。在该记录日期登记的股东有权出席股东大会并行使其作为股东的权利,而不论在该记录日期后出售股份。 |
分销年报 | ASML没有遵守纳斯达克关于在股东周年大会之前向股东分发包含经审计财务报表的年度报告副本的要求。根据荷兰公司法或荷兰证券法,或阿姆斯特丹泛欧交易所,不要求向股东分发我们的年度报告。此外,荷兰公司不分发年度报告是普遍接受的商业惯例。这在一定程度上是因为荷兰的无记名股份制度使得为了分发年度报告而保留无记名股份持有者的当前名单是不切实际的。相反,我们将于股东周年大会召开前42天,于荷兰公司总部(以及会议召集通知中所述的荷兰上市代理办事处)提供我们的年度报告。此外,在年度股东大会之前,我们会在我们的网站上张贴一份我们的年度报告。 |
股权补偿安排 | ASML没有遵循纳斯达克的要求,即高管、董事或员工可获得的股票期权或购买计划或其他股权薪酬安排必须获得股东批准。根据荷兰法律或普遍接受的惯例,荷兰公司在高管、董事或员工可获得的股权薪酬安排上,不需要获得股东的批准。股东大会通过管理委员会的薪酬政策,批准管理委员会的股权薪酬安排,并批准监事会的薪酬。薪酬委员会评估管理委员会个别成员在短期和长期量化业绩方面的业绩,全体监事会评估量化业绩标准。员工权益补偿安排由管理委员会在股东大会批准的范围内通过。 |
遵守《企业管治守则》
我们密切关注公司治理领域的发展以及相关公司治理规则对ASML的适用性。对ASML公司治理结构或《公司治理守则》应用的任何重大改变都将提交股东大会讨论。
我们认为,ASML完全符合荷兰公司治理准则。
管理委员会和监事会,
维尔德霍温,2022年2月9日
我们如何管理风险
ASML通过企业风险管理(ERM)框架管理风险,该框架将风险管理集成到我们的日常业务活动和战略规划中。
企业风险管理
我们通过定义良好的治理结构和强大的ERM流程部署我们的ERM框架。风险和业务保障职能推动了整个ASML及其附属机构的ERM流程和相关活动。它通过制定标准和使管理层能够使ASML的治理、风险管理、内部控制和合规更加高效和有效,采取系统的方法来识别、管理和监测风险,以追求我们的业务目标。该框架还有助于确定机会,使我们能够实现我们的目标并实现持续的可持续增长。
错误管理是一个持续的过程。它的相关活动定期重复,以及时查明和处理风险,其结果仍然与决策目的相关。我们负责风险和业务保障的总裁副主管向ASML首席财务官汇报,负责领导企业风险管理框架的开发和维护,并确保企业风险管理流程的实施。ASML采用了国际标准化组织31000:2018年标准作为其企业风险管理的基础。此外,风险和业务保证部副总裁负责领导安全、内部控制职能,并负责合规流程的制定和维护。
风险管理治理结构
监事会和审计委员会
监事会根据定期风险审查,对管理层对确定和减轻关键风险领域的反应进行独立监督。监督委员会的审计委员会对机构风险管理进程进行独立监督,并根据季度最新进展情况及时对优先行动采取后续行动。
管理委员会
管理委员会负责管理与我们的业务活动相关的内部和外部风险,并确保我们遵守适用的法律和法规。管理委员会已将其风险监督委托给ASML的公司风险委员会。
企业风险委员会
公司风险委员会是一个中央风险监督机构,负责审查、管理和控制ASML风险领域的风险,包括信息安全。它还批准了风险偏好、风险管理政策和风险缓解战略。公司风险委员会由首席财务官担任主席,由来自ASML所有部门的高级管理代表组成,包括首席执行官和首席运营官。
披露委员会
披露委员会协助管理委员会监督ASML的披露活动,以及遵守荷兰和美国法律以及适用的证券交易所法规和其他监管要求的适用披露要求。
内部控制委员会
内部控制委员会包括披露委员会的成员,根据萨班斯-奥克斯利法案第404条,在评估我们对财务报告和披露的内部控制时,向披露委员会以及首席执行官和首席财务官提供建议。内部控制委员会主席向审计委员会、首席执行官和首席财务官通报本次评估的最新进展情况。主席还在提交给审计委员会的报告中列入了这一最新情况。
风险所有者
风险所有者监控ASML风险领域中的风险发展,并根据公司风险委员会定义的要求推动整个ASML组织的风险应对。
ASML风险领域
ASML风险领域是对可能对实现我们的业务目标产生重大不利影响的风险的综合概述。它由38个风险类别组成,分为6个风险类型。这使我们在评估风险时能够在ASML中采用一致的方法。
我们考虑到广泛的内部和外部信息来源,例如宏观经济和行业趋势、相关准则和立法,以及利益攸关方在所有领域的需求和期望。每年审查、更新和批准风险范围,如果内部和/或相关的外部事态发展重大,则更频繁地审查、更新和批准风险范围。
企业风险管理进程
我们的机构风险管理流程提供了一种结合了自上而下(公司级)和自下而上(组织和流程级)视角的整体方法。这有助于我们确保在正确的级别执行风险识别、评估和管理。我们的企业风险管理流程正在不断改进。例如,我们从2021年开始实施关键风险指标。
定期风险评估的结果以及外部趋势和新出现的风险的潜在影响包含在ASML风险图景中。由于我们在一个动态的环境中运营,风险敞口可能会发生变化。公司风险委员会每季度审查、更新和讨论ASML风险情况。风险评估的执行是根据风险管理计划和公司风险委员会批准的任何额外工作进行的。我们定义了应对相关风险的战略,并在确定公司优先事项时将这些风险考虑在内。ASML定义了风险应对措施,目的是将风险降低到风险偏好定义的水平。
风险管理流程
风险偏好
我们的风险偏好取决于风险的性质。ASML的风险偏好--ASML为实现其目标而愿意接受的风险水平--可能会根据具体风险而有所不同,分为五个级别:厌恶、审慎、中等、高度和广泛。我们的方法旨在将风险降低到我们的风险偏好中定义的水平。
风险动态
下表列出了影响2021年一系列风险类别风险敞口的外部事态发展的例子,包括我们应对措施的例子。下面列出的风险和风险应对措施并不详尽。
| | | | | |
满足需求的挑战 | 风险类别 |
所有细分市场和我们的产品组合的需求都在不断增长,这对我们来说是一个机遇,但也带来了挑战。我们的系统在需求激增的过程中至关重要。我们注意到,为了满足这一需求,我们的端到端供应链正在扩大产能。芯片和材料短缺放大了这一点。
此外,在当前竞争激烈的市场中,加大招聘和留住劳动力的力度越来越具有挑战性。我们业务的增长也可能导致福利问题,越来越多地使用变通办法,在某些情况下还会带来不遵守内部流程和/或控制的风险。我们的流程和系统可能无法充分支持我们的增长和发展。
我们一直并将继续经历的需求增长可能会改变客户的采购策略,减少对ASML的依赖。这可能会影响我们在某些细分市场的市场份额。 | •供应商战略和绩效 •供应链中断 •产品产业化 •人力资源 •产品和服务质量 •竞争 •行业周期 •政治 •法律责任 •过程的有效性和效率 •违法违规 |
风险应对 |
•短期和长期制造能力和利用率的提高 •缩短周期时间 •供应商支持,以提高搬运率并缓解芯片和材料短缺 •改善薪酬待遇,加强招聘活动 •执行福利计划 •增加培训计划和入职体验 •内部控制框架和评估 |
| | | | | |
IP技术领先压力 | 风险类别 |
ASML及其开放创新合作伙伴在专有技术和知识产权保护方面面临越来越大的压力。ASML的存在是以人和知识为基础的。未经授权披露ASML及其客户或供应商的信息可能会使竞争对手受益,对ASML申请专利的能力产生负面影响,或影响与客户和供应商的合作。
我们的信息技术系统正遭受网络攻击,我们的供应商、客户和其他服务提供商也遭受此类网络攻击。
我们致力于保护我们和我们合作伙伴的信息资产。
我们观察到,2021年的风险敞口仍然很高。 | •信息安全 •知识产权 •竞争
|
风险应对 |
•信息安全职能和信息安全政策,以实施控制以确保授权使用信息 •显著增加我们的信息安全投资(人员、系统)和安全路线图,以提高我们流程和系统的安全性 •网络防御中心 •安全事件响应程序到位,并至少每年测试一次 •提高认识和培训方案 •知识产权管理 •专利和相关技术出版物的监测 |
| | | | | |
地缘政治紧张局势 | 风险类别 |
出口限制正在上升,全球贸易正在从全球化转向区域化,特别是中国和美国以及争取技术主权的国家之间的贸易。这可能会导致一个脱钩的生态系统,并--长期而言--产能过剩。贸易和出口壁垒影响了我们向某些客户销售和维护系统的能力,并通过限制我们在某些司法管辖区或某些客户销售我们的产品和服务的能力来影响我们的业务。
地缘政治紧张局势还导致员工跨国流动受到限制。保护主义和官僚主义正在增加,以及对来自某些国家的国际知识工作者的限制(例如,限制技术准入、签证/旅行限制)。
我们的目标是尽我们所能为世界各地的所有客户提供服务和支持,同时遵守我们运营所在司法管辖区制定的法律和法规。
2021年,政治紧张、保护主义和限制的风险敞口仍然很高。 | •政治 •自身业务的连续性 •人力资源 •商业模式 •行业周期 •违法违规
|
风险应对 |
•监测地缘政治事态发展 •按要求申领出口许可证 •遵守(现有和新的)法规 •在全球宣传中与同行合作 |
| | | | | |
新冠肺炎大流行 | 风险类别 |
新冠肺炎已经蔓延到全球,导致隔离、旅行和工作场所限制、企业关闭和限制、供应链中断、劳动力短缺、立法变化以及整体经济和金融市场的不稳定。
这场大流行对全球经济产生了影响。展望未来,形势将如何发展,以及对全球GDP发展、(终端)市场以及我们的制造能力和供应链将产生什么影响,仍存在不确定性。
2021年,新冠肺炎疫情对我们运营的影响有限-与2020年相比,风险敞口更加可控。 | •自身业务的连续性 •供应链中断 •环境、健康和安全 •人力资源 •过程的有效性和效率 •路线图执行 •信息安全 |
风险应对 |
•将员工的健康和安全作为我们的首要任务,并在全球范围内实施预防措施 •强大的财务能力以应对经济低迷 •启动业务连续性管理计划 •与我们的关键供应商积极接触并增加库存 •在客户现场实施虚拟远程支持解决方案 •实施便利(安全)远程工作和支持员工福利的措施 |
风险因素
在开展业务的过程中,我们面临着许多风险,这些风险可能会干扰我们的业务目标。了解这些风险的性质是很重要的。我们通过使用ASML风险全域来评估我们的风险,包括六种风险类型(战略和产品、财务和报告、合作伙伴、人员、运营、法律和合规)。以下风险因素被归类为这六种风险类型。其中所述的任何风险和事件或情况都可能对我们的业务、财务状况、经营结果和声誉产生重大不利影响。这些风险不是我们面临的唯一风险。一些风险可能还不为我们所知,而某些我们目前认为不重要的风险可能会在未来变得重要。
战略和产品
我们未来的成功有赖于我们对半导体行业的商业和技术发展作出及时反应的能力。
风险类别:商业模式、创新
我们在开发新技术和产品以及改进现有产品方面的成功取决于多种因素。这包括我们和我们的供应商研发计划的成功,以及相对于竞争对手及时和成功地完成产品开发和设计。如果我们追求的帮助客户生产更小、更节能芯片的技术不如竞争对手开发的技术有效,或者如果我们的客户不采用我们开发的技术或采用不那么专注于光刻产品的新技术架构,我们的业务将受到影响。我们的EUV 0.55 NA(High-NA)技术的成功,我们认为这是跟上摩尔定律的关键,仍然依赖于我们和我们的供应商不断的技术进步。我们投入了大量的财政和其他资源来开发和引入新技术、产品和产品增强。如果我们在开发(或我们的客户不采用)新技术、产品和产品增强功能(如EUV 0.55 NA和多波束检测)方面不成功,或者如果竞争对手成功引入替代技术或工艺,我们的竞争地位和业务可能会受到影响。
此外,我们在新产品开发和产品增强方面进行了大量投资,但我们可能无法收回部分或全部投资。由于技术变化,我们还可能产生与库存陈旧相关的成本。随着技术复杂性的增加,这样的成本可能会增加。
由于我们系统的高度复杂性和成本,包括较新的技术,我们的客户可能会购买现有的技术系统,而不是新的尖端系统,或者可能会推迟他们对新技术系统的投资,因为考虑到他们的产品周期,这种投资不划算或不必要。全球经济状况影响我们的客户的投资决策,导致对新的领先系统的推出和需求的时间上的不确定性。我们的一些客户在实施其产品路线图方面已经并可能继续遇到延迟。这增加了降低引入新节点以及新系统的整体过渡期(或节奏)的风险。
我们还依赖我们的供应商维护他们的开发路线图,使我们能够及时引入新技术。如果他们无法跟上步伐,无论是由于技术因素、缺乏财政资源或其他原因,这可能会阻止我们实现我们的发展路线图。
新产品推出的成功与否是不确定的,取决于我们成功执行研发计划的能力
风险类别:路线图执行、创新
我们的光刻系统和应用变得越来越复杂,相应地,开发新产品和技术的成本和时间周期也增加了。我们预计这样的成本和时间段将继续增加。特别是,开发新技术,如EUV 0.55 NA(高NA)和多波束,需要我们和我们的供应商进行大量的研发投资,以满足我们和我们客户的技术需求。我们的供应商可能没有或不愿意投资必要的资源,以继续(共同)开发新技术,直到这种投资是必要的程度。这可能会导致ASML向这样的研发计划提供资金,或者限制我们可以承担的研发投资。此外,如果我们的研发计划不能按时或根本不成功地开发所需的新技术,我们可能无法成功地推出新产品,无法收回我们的研发投资。
我们面临着激烈的竞争
风险类别:竞争
光刻设备行业竞争激烈。我们的竞争力取决于我们开发新的和改进的光刻设备的能力,以及我们保护和捍卫我们知识产权的能力,以及我们以具有竞争力的价格和及时推出的相关应用和服务。我们主要在DUV系统方面与佳能和尼康竞争。佳能和尼康都拥有雄厚的财力和广泛的专利组合。每一家公司都继续提供
直接与我们的DUV系统竞争的产品,这可能会影响我们的销售或业务。此外,不利的市场条件、行业产能过剩或日元对欧元的贬值,可能会进一步加剧基于价格的竞争,导致价格下降,销售额和利润率下降。
我们还可能面临来自拥有大量财政资源的新竞争对手的竞争,以及来自地缘政治背景下自给自足野心驱动的竞争对手的竞争。此外,我们还面临来自替代技术解决方案或半导体制造工艺的竞争,特别是如果我们未能及时和具有成本竞争力的方式开发新的EUV技术、产品和产品改进。
我们还与支持或增强复杂图案化解决方案的应用提供商竞争,如Applied Materials Inc.。以及KLA-KINOOR Corporation。这些应用程序实际上与我们的应用程序产品竞争,这是我们业务的重要组成部分。我们在应用程序业务中面临的竞争可能高于我们的系统,因为这个市场上有更多的竞争对手和潜在竞争对手。
半导体行业可能是周期性的,我们可能会受到任何经济低迷的不利影响
风险类别:行业周期风险
作为全球半导体行业的供应商,我们受制于行业的商业周期,其时间、持续时间和波动性很难预测。半导体行业在历史上一直是周期性的。该行业的新进入者,包括中国半导体制造商,可能会增加未来周期性的风险。某些关键的终端市场客户--Memory和Logic--表现出不同程度的周期性和不同的商业周期。我们光刻系统、服务和其他整体光刻产品的销售在很大程度上取决于半导体制造商的资本支出水平。这些反过来又受到行业周期、对技术主权的推动以及一系列竞争和市场因素的影响,包括半导体行业的条件和前景。我们客户的资本支出的时机和规模也影响了该行业生产芯片的可用产能,这可能导致芯片供需失衡。客户资本支出的减少或延迟,或我们对客户资本支出的错误假设,都可能对我们的业务产生不利影响。此外,目前对我们的业务产生积极影响的行业趋势,如我们客户增加的资本支出,可能不会继续下去。
在行业低迷时期,我们能否保持盈利能力将在很大程度上取决于我们是否能够降低成本和盈亏平衡水平,这是我们必须在一年内达到的销售水平,才能获得正的净利润。如果由于行业不景气导致销售额大幅下降,而我们无法在同一时期调整成本,我们的净收入可能会大幅下降,或者我们可能会蒙受损失。此外,随着每个系统的价值增加,我们在员工、设施和库存方面已经并继续增长,我们可能更难降低成本以应对行业低迷。
我们的大部分收入来自销售数量相对较少的产品
风险类别:商业模式、产品组合
我们的大部分收入来自销售数量相对较少的光刻系统(2021年为309台,2020年为258台)。因此,少数系统在特定报告期内的发货时间(包括任何延迟)以及对系统销售的确认可能会对我们在此期间的业务、财务状况和运营结果产生重大不利影响。由于与DUV系统相比,EUV系统的平均销售价格更高,这种风险正在增加。
此外,我们还从维护和升级现有客户群中获得了可观的收入。然而,我们可能无法像我们计划的那样增加收入,例如,客户可能会自己执行更多此类服务,或者为该服务寻找其他第三方供应商。
未能充分保护知识产权、商业秘密或其他机密信息可能会损害我们的业务
风险类别:知识产权
我们依靠专利和版权等知识产权来保护我们的专有技术和应用。然而,我们面临的风险是,这些措施可能被证明是不充分的,我们可能遭受物质损害,因为除其他外:
•知识产权法可能不足以支持我们的专有权利,或可能在未来以不利于我们的方式发生变化;
•我们与我们的客户、员工、技术开发合作伙伴和其他人签订的保护我们知识产权的保密和许可协议可能不够充分,或者可能被违反或终止;
•专利权可能不会被授予或解释为我们预期的;
•专利权将到期,这可能导致关键技术变得广泛可用,从而损害我们的竞争地位;
•我们为防止盗用或侵犯我们所有权而采取的措施可能不会成功;
•知识产权在一些国家很难执行,因为与我们开展业务的其他司法管辖区相比,管理知识产权的法律的适用和执行可能没有达到相同的水平;以及
•第三方可能能够为类似的竞争技术开发或获得专利。
此外,执行我们的知识产权可能需要法律程序,其有效性和范围可能会受到其他人的质疑。任何此类诉讼都可能导致巨额成本和管理资源的转移,如果决定对我们不利,可能会导致重大成本或对我们的业务产生重大影响。
我们受到第三方或我们自己员工的企图挪用攻击,包括窃取我们的商业机密、专有客户数据、知识产权或其他机密信息。尽管我们努力保护我们的知识产权,但未经授权的第三方仍有可能获取、复制、使用或披露我们的专有技术、我们的产品、设计、工艺和其他知识产权。
2021年,我们注意到有报道称,与Xtal Inc.关联的东方景源电子(“东方景源”)正积极在中国营销可能侵犯ASML知识产权的产品。更多内容请阅读:我们在2021年的表现-治理-负责任的企业-知识产权保护。
对其他人提出的知识产权索赔进行辩护可能会损害我们的业务
风险类别:知识产权
在我们的业务过程中,我们会受到第三方的索赔,指控我们的产品或工艺侵犯了他们的知识产权。如果成功,此类索赔可能会限制或禁止我们开发技术、制造和销售我们的产品。
此外,我们的客户或供应商可能会受到第三方的侵权指控,指控该等客户在制造半导体产品和/或与使用我们的产品相关的过程中使用我们的产品侵犯了向该第三方颁发的一项或多项专利。如果此类索赔成功,我们可能被要求赔偿我们的客户或供应商因此类侵权行为而遭受的部分或全部损失或损害评估。
我们还可能为解决索赔或潜在地加强或扩大我们的知识产权或限制我们对第三方知识产权索赔而产生巨额许可或和解成本。
专利诉讼是复杂的,可能会持续很长一段时间,这可能会产生巨额费用,并转移关键管理和技术人员的注意力。专利诉讼的潜在不利结果可能包括支付巨额金钱损害赔偿金、禁止我们制造、出口或销售产品的禁令救济,和/或涉及由我们支付巨额费用的和解。
我们在国际业务中受到经济和政治发展的影响。
风险类别:政治风险
全球贸易问题、多边和双边条约和贸易政策的变化和不确定性,以及国际贸易争端、贸易制裁、出口管制、关税和类似法规,都会影响我们在国际上提供系统和服务的能力。特别是,我们在某些国家/地区(如中国)交付系统的能力一直并将继续受到我们能否获得所需许可证和批准的影响。
美国政府已经制定了包括进口关税、国家安全法规和限制与某些中国实体开展业务的贸易措施,限制了我们在没有许可证的情况下向此类实体提供某些产品和服务的能力。受贸易限制影响的中国实体名单以及出口监管要求以及此类监管的实施和执行情况可能会发生变化。我们的业务涉及向多个国家和地区的客户销售系统和服务,包括中国,我们的业务近年来在这些国家和地区取得了增长,并包括可能受到更多出口法规、政策或做法影响的敏感技术。多边和双边条约、国家法规以及贸易、国家安全和投资政策和做法方面的这些和进一步的发展已经并可能进一步影响我们的业务以及我们供应商和客户的业务。这些发展已经并将继续影响我们获得必要许可证的能力,包括使用美国技术以及生产和开发此类技术的员工的许可证。这些发展,包括对技术主权的追求,也可能导致全球贸易、竞争和技术供应链的长期变化,这可能对我们的业务和增长前景产生不利影响。
我们的某些制造设施以及客户都位于台湾。台湾客户占我们2021年总净销售额的39.4%,占我们2020年总净销售额的33.8%。台湾具有独特的国际政治地位。人民Republic of China主张对台湾拥有主权,不承认台湾政府的合法性。台湾与人民关系的变化,Republic of China,台湾政府的政策,以及其他影响台湾政治、经济或社会环境的因素,都可能对我们的业务、财务状况和经营业绩产生实质性的不利影响。此外,我们的某些设施以及客户都位于韩国。韩国客户占我们2021年总净销售额的33.4%,占我们2020年总净销售额的29.7%。与朝鲜民主主义人民共和国(北朝鲜)的紧张关系自第二次世界大战后朝鲜半岛分裂以来一直存在。这些国家之间关系的恶化或朝鲜半岛战争的爆发可能对我们的业务、财务状况或业务结果产生重大不利影响。
我们可能无法进行理想的收购或成功整合我们收购的任何业务
风险类别:并购
我们可能会不时收购业务或技术,以补充、增强或扩展我们现有的业务或产品,或可能为我们提供增长机会的业务或产品。任何此类收购都可能导致我们无法实现财务或战略目标,无法按照我们的计划执行或扰乱我们正在进行的业务,并对我们的运营结果产生不利影响。此外,我们完成这类交易的能力可能会受到许多因素的阻碍,包括在获得政府批准方面的潜在困难。
我们进行的任何收购都可能带来与新业务或技术与我们的业务和组织集成相关的风险。我们不能确定我们是否能够从一项特定的收购投资中获得我们预期的好处。这样的交易也可能会给我们的管理和运营资源带来压力,因为管理新业务的挑战可能会转移我们对日常业务的管理。此外,我们可能无法留住被收购企业的关键人员,或者可能难以整合员工、业务系统和技术。被收购企业的控制、流程和程序也可能无法充分确保遵守法律法规,我们可能无法确定合规问题或责任。
在收购方面,反垄断和国家安全监管机构过去曾对我们施加条件,未来也可能对我们施加条件,包括要求剥离资产或其他可能使我们难以整合所收购业务的条件。此外,我们可能难以获得或无法获得反垄断和国家安全许可,这可能会阻碍未来想要的收购。
作为收购的结果,我们已记录,并可能继续记录大量商誉和其他无形资产。现行会计准则要求至少每年评估是否有指标表明商誉或其他无形资产的价值已经减值,并可能更频繁地进行评估。
我们可能无法实现我们的环境、社会、治理(ESG)目标,也无法及时适应和响应新出现的ESG期望和法规
风险类别:气候变化、产品管理
所有行业的公司都面临着与其ESG政策相关的日益严格的审查。投资者和其他利益相关者越来越关注ESG实践,近年来,他们越来越重视投资的影响和社会成本。特别是,在半导体行业内,重点是对社会的贡献,并将产品在整个生命周期阶段对环境和社会的影响降至最低。未能实现我们的ESG目标、满足利益相关者新出现的ESG期望和/或未能及时响应强化的法规可能会对我们的品牌和声誉造成负面影响。
气候变化导致极端天气事件日益严重和频繁,海平面上升和干旱可能会影响我们业务和/或供应链的连续性。对气候变化的担忧及其潜在的环境影响可能会导致新的法律法规,可能会影响我们、我们的供应商和我们的客户。此类法律或法规可能会导致我们因合规而产生额外的直接成本,以及由我们的客户和供应商产生的增加的间接成本。此外,降低我们与产品相关的环境性能(如能源效率)的能力可能会受到我们技术和产品复杂性的影响。我们还依赖我们的供应商及其减少生态足迹的能力。
全球向低碳经济的过渡导致实施了更多的监管,这可能会导致技术限制、产品设计修改、能源价格和能源或碳税的增加、对污染的限制、所需的补救设备或其他要求。已经出台了各种监管发展,重点是限制或管理二氧化碳和其他温室气体的排放。这可能导致需要重新设计产品和/或以更高的成本购买具有较低碳足迹的新设备或材料。
财务和报告
我们面临国库风险,包括流动性风险、利率风险、信用风险和外汇风险。
风险类别:流动性、利率、交易对手信用、外汇
我们是一家全球性公司,面临着各种金融风险,包括流动性风险、利率风险、信用风险、外汇风险、通胀风险。
流动性风险:我们面临着流动性风险。我们业务或全球资本市场的负面发展可能会影响我们履行财务义务的能力,或在资本或贷款市场筹集或再融资债务的能力。此外,由于法律限制或所需手续,我们可能无法立即将现金从一个国家汇回其他地方使用。
利率风险:我们面临着利率风险。我们的欧洲债券以固定利率计息。我们的现金和投资以及我们的循环信贷安排按浮动利率计息。如果不能有效对冲这一风险,可能会影响我们的财务
手术条件和结果。此外,由于评级下调(或降级预期)、资本和贷款市场的发展或我们业务的发展,我们的借贷成本可能会上升。
交易对手信用风险:我们面临交易对手信用风险,特别是与我们持有现金和投资的金融交易对手以及我们的客户有关的风险。由于我们的客户数量有限,我们的应收账款的信用风险集中。截至2021年12月31日,我们最大的三个客户(基于总净销售额)占应收账款和融资应收账款的38.552亿欧元,占83.7%,而截至2020年12月31日,占27.57亿欧元,占80.1%。因此,我们的一个主要客户的业务失败或资不抵债可能会导致重大的信用损失。
货币风险:我们面临着汇率风险。我们的财务报表是以欧元表示的。因此,我们的经营结果受到欧元与其他货币之间汇率波动的影响。货币汇率的变化可能会导致我们的财务报表出现亏损。我们特别容易受到美元与欧元汇率波动的影响,而日元、韩元、台币和人民币相对于欧元的汇率波动程度较小。我们的销售成本主要以欧元计价,部分也以美元和新台币计价。我们的一小部分经营业绩是由欧元、美元、日元、韩元、台币或人民币以外的货币变动推动的。
一般而言,我们的客户以美元经营业务,因此美元兑欧元的疲软可能会影响我们的客户以报价购买我们产品的能力或意愿。
通胀风险:由于供应短缺,我们面临着商品、运输和工资成本的通货膨胀,这可能会影响我们的盈利能力。目前,供应链约束导致了高于正常水平的通胀。
合作伙伴
我们的成功在很大程度上取决于有限数量的单一来源关键部件的关键供应商的表现
风险类别:供应链中断、供应商战略和绩效
我们依赖外部供应商提供系统中使用的组件和子组件,包括其设计。这些部件和子组件从单个供应商或有限数量的供应商处获得。随着我们业务的增长,我们对单一供应商或数量有限的供应商的依赖也在增加,因为我们的许多部件高度专业化,特别是EUV系统,这意味着从多个供应商采购并不划算。因此,我们的采购战略(在许多情况下)规定了“单一采购,双重能力”。我们对有限的供应商集团的依赖涉及几个风险,包括可能无法及时或根本无法获得足够的所需部件或部件供应,因转向替代供应商而产生的额外成本,以及对定价和质量的控制减少。这些组件和组件的供应延迟可能是由于各种原因造成的,例如我们的供应商经历的中断,包括停工、火灾、能源短缺、大流行爆发、洪水、网络攻击、破坏或其他灾难、自然灾害或其他灾难,都可能导致我们的产品延迟交付,从而影响我们的业务。例如,由于芯片和材料短缺,我们的某些供应商经历了运营中断。长期无法获得部件或组件的充分交付,或任何其他需要我们寻找替代供应来源的情况,可能会严重阻碍我们及时交付产品的能力,这可能会损害我们与客户的关系,并对我们的业务造成实质性影响。
我们能够生产的光刻系统的数量可能会受到我们的主要供应商之一Carl Zeiss SMT GmbH的生产能力的限制,该公司是我们的透镜、反射镜、照明器、收集器和其他关键光学部件(我们称为光学部件)的唯一供应商。我们与Carl Zeiss SMT GmbH有独家协议(见年报中的关联方段落),如果他们无法维持和提高生产水平,我们可能无法履行订单,这可能会对我们的业务产生实质性影响,并损害我们与客户的关系。如果卡尔·蔡司SMT有限公司终止与我们的供应关系,或者卡尔·蔡司SMT有限公司无法长期维持光学产品的生产,我们实际上将无法开展业务。
我们不时会遇到供应紧张,这可能会影响我们的生产,特别是在我们在2021年经历并将继续经历的需求增加时期。2021年,我们的供应链出现了一些延误和短缺,导致一些系统的组装起步较晚。此外,在2021年,由于需求旺盛,我们一直在缩短工厂的周期时间,以发运更多系统。缩短周期的一种方法是通过快速发货过程,跳过我们工厂的一些测试。然后在客户现场进行最终测试和正式验收。这导致对这些发货量的收入确认推迟到客户正式接受,但确实为我们的客户提供了更早获得晶片产能的机会。我们和我们的供应商正在投资增加产能以满足这一需求,但增加产能需要时间,我们可能在几年内无法满足客户的全部需求。此外,我们还面临着需求可能不会继续增长的风险,这可能会导致产能过剩和增加产能的投资损失。
此外,我们的一些主要供应商,包括卡尔·蔡司SMT有限公司,其制造设施数量有限,制造设施的中断可能会对我们的生产能力造成重大不利影响。
随着我们的产品变得更加复杂,获得组件的交付期也增加了,而我们未能充分预测系统需求或组件发货的任何延迟都可能导致组件供应不足,这可能会导致系统交付的延迟,并可能限制我们对不断变化的市场条件做出快速反应的能力。相反,未能预测需求可能会导致库存过剩和陈旧。
我们还依赖供应商开发新的型号和产品,并满足我们的发展路线图。如果我们的供应商在产品开发方面不符合我们的要求或时间表,我们的业务可能会受到影响。
很高比例的净销售额来自少数几个客户
风险类别:客户依赖
从历史上看,我们向有限数量的客户销售了大量的光刻系统。由于半导体制造业的持续整合,客户集中度可能会增加。此外,尽管我们整体光刻解决方案中的应用程序部分在我们的收入中所占的比例越来越大,但这些客户中有很大一部分是与我们系统的客户相同的客户。因此,虽然我们最大客户的身份可能每年都会有所不同,但在任何一年,销售可能都会集中在相对较少的客户身上。我们每年面向最大客户的公认净销售额总额为68.811亿欧元,占2021年总净销售额的37.0%,而2020年为43.948亿欧元,占总净销售额的31.4%。2021年,总净销售额的66.3%来自两个客户。任何重要客户的流失或重要客户订单的大幅减少或延迟,都可能对我们的业务、财务状况和运营结果产生重大不利影响。
人民
我们的业务和未来的成功取决于我们管理组织发展的能力,以及吸引和留住足够数量的受过充分教育和熟练的员工的能力
风险类别:人力资源、知识管理、组织效力
我们的业务和未来的成功在很大程度上取决于我们的员工,包括大量高素质的专业人员,以及我们吸引和留住员工的能力。对这类人员的竞争非常激烈,在过去一年里更加激烈。尽管我们有能力显著扩大员工基础,但吸引足够数量的合格员工来满足我们日益增长的需求仍将是一个挑战。这种无法吸引和留住合格人才的风险随着我们业务的增长而增加。
我们的研发项目需要大量合格的员工。如果我们无法吸引足够数量的合格员工,这可能会影响我们及时进行研发的能力。此外,由于疾病等意外原因而失去关键员工也是一种风险。
此外,由于我们技术的独特性和复杂性,能够在我们的系统上工作的合格工程师很少,而且通常无法获得(例如,来自其他行业或公司的)。因此,我们必须教育和培训我们的员工使用我们的系统。留住这些关键员工是我们作为一家公司的关键成功因素。
此外,我们产品的日益复杂导致新员工和现有员工和供应商的学习曲线更长,导致无法缩短周期时间,并可能导致大量额外成本的发生。我们的供应商在吸引合格员工方面面临着类似的风险,包括吸引与支持我们的研发计划和技术开发的计划相关的员工。如果我们的供应商无法吸引到合格的员工,这可能会影响我们的研发计划或向我们交付零部件。
近年来,我们的组织有了显著的发展。由于这种短时间内的增长,我们可能无法有效地管理、监控和控制我们的员工、设施、运营和其他资源。我们的发展给我们的组织和人员带来了持续的压力,这可能会导致我们员工的福祉问题。
运营
我们在管理我们产品的工业化并将其带入大批量生产方面可能面临挑战
风险类别:产品产业化
以基于价值的价格和具有成本效益的方式将我们的产品投入大批量生产,取决于我们管理产品工业化的能力和管理成本的能力。客户对我们产品的接受程度取决于我们产品在该领域的表现。随着我们的产品变得越来越复杂,我们面临着越来越大的风险,即我们开发的产品可能不符合开发里程碑或规范,并且我们的产品可能不符合规范,包括质量标准。如果我们的产品没有按照规格和性能标准运行,或者如果出现质量或性能问题,这可能会导致额外的成本、对我们产品的需求减少,以及我们的客户无法满足计划的晶圆产能。
将我们新开发的产品过渡到全面生产需要扩大我们的基础设施,包括增强我们的制造能力、增加零部件供应和培训合格人员,还可能需要我们的供应商扩大他们的基础设施能力。如果我们或我们的供应商无法根据需要扩展基础设施,我们可能无法及时或根本无法引入新技术、产品或产品增强功能或实现新开发产品的大批量生产。
此外,当我们成功地将新产品产业化时,可能需要数年时间才能达到有利可图的利润,就像EUV的情况一样。
新技术可能不具有与现有技术相同的利润率,我们可能无法有效地调整基于价值的定价和/或成本。此外,新技术、产品或产品改进的引入也会影响ASML的流动性,因为新产品的生产周期可能更长,从而导致营运资金需求增加。随着我们的产品变得更加复杂和昂贵,这种对流动性的影响也会增加。
提供所需的客户支持功能以满足越来越多的装运和维修越来越多在外地运行的EUV系统所涉及的能力、能力和成本可能会影响装运的时间以及有效地执行维护、维修和升级,这是系统继续达到所需生产力的关键。
我们依赖于有限数量的制造设施的持续运营
风险类别:自身经营的连续性
我们的所有制造活动,包括组件组装、最终组装和系统测试,都在净化室设施中进行,包括荷兰维尔德霍温、德国柏林、美国康涅狄格州威尔顿、美国加利福尼亚州圣地亚哥和圣何塞、韩国平泽、北京中国以及台湾林口和台南。这些设施可能会因各种原因而中断,包括停工、火灾、能源短缺、大流行病爆发、洪水、网络攻击、破坏或其他自然或其他灾难。如果发生重大中断,我们无法确保有替代产能可用。
随着我们组织的发展,我们不能完全确保我们的风险敞口。此外,并不是所有的灾难都可以投保。由于我们无法为潜在的损失提供适当的保险,我们受到未投保损失的财务影响,这可能会对我们的财务状况和经营业绩产生不利影响。
我们的运营性质使我们面临健康、安全和环境风险
风险类别:环境、健康和安全
危险物质用于我们的产品和系统的生产和运营,这使我们必须遵守与环境保护、员工和产品健康与安全相关的各种政府法规,包括运输、使用、储存、排放、搬运、排放、产生和处置有毒或其他危险物质。此外,操作我们的系统(使用激光和其他潜在危险系统)可能会很危险,并可能导致受伤。如果不遵守当前或未来的法规,可能会对我们处以巨额罚款、暂停生产、更改我们的制造、组装和测试流程、损害我们的声誉和/或限制我们的运营或销售或其他不利后果。此外,我们的产品也变得越来越复杂。日益增加的复杂性要求我们投资于持续的风险评估,并为我们的员工(与我们的系统的生产和安装以及我们的服务的现场选择和性能相关的)和我们的客户的员工(与我们的系统的操作相关)的健康和安全制定适当的预防和保护措施。我们的健康和安全实践可能并不能有效地降低所有健康和安全风险。未能遵守适用的法规或我们为客户和员工的健康和安全实施的实践失败可能会使我们承担重大责任。
网络安全和其他安全事件,或我们的流程或信息技术系统中的其他中断,可能会对我们的业务运营产生重大不利影响
风险类别:信息安全、信息技术、流程有效性和效率、资产保护
我们依赖我们的信息技术系统的准确性、可用性和安全性。尽管我们已经实施了措施,包括与网络安全有关的措施,但我们的系统可能会受到计算机病毒和系统攻击、自然或人为事件、灾难或未经授权的物理或电子访问的破坏或破坏。
我们的信息技术系统以及我们的供应商、客户和其他服务提供商的信息技术系统受到越来越多的网络攻击,而我们无法控制他们的系统。这些攻击包括恶意软件(恶意软件)、试图未经授权访问数据,以及对我们的信息技术系统的其他电子安全漏洞。它们还包括我们的供应商、客户和其他服务提供商的信息技术系统,这些系统已经并可能导致我们的客户、供应商或其他业务合作伙伴(包括研发合作伙伴)的关键系统中断、未经授权发布、挪用、损坏或丢失数据或机密信息(包括与我们的客户、员工和供应商相关的机密信息)。此外,我们依赖我们的员工和我们供应商的员工适当处理机密和敏感数据,并在安全和安全的情况下部署我们的IT资源
安全的方式,不会使我们的网络系统面临安全漏洞或数据丢失。然而,我们或我们供应商的员工或供应商的员工的疏忽披露或行为或内部不当行为可能会导致数据丢失或我们的IT系统被破坏或中断,这始终存在风险。
此外,任何系统故障、事故或安全漏洞都可能导致业务中断、我们的知识产权、商业机密(包括我们的专有技术)、未经授权访问或披露客户、人员、供应商或其他机密信息、我们的数据或系统的损坏、声誉损害或诉讼。此外,计算机病毒或其他恶意软件可能会损害我们的系统和软件,并可能在不经意间传播到我们客户的系统和运营中,这可能会导致客户流失、诉讼、政府调查和诉讼,从而使我们承担民事或刑事责任,并引起管理层的大量关注和资源,以补救由此造成的损害。我们还可能被要求在未来针对这些中断或安全漏洞造成的损害进行保护或修复,包括例如重建内部系统、实施额外的威胁防护措施、对我们的产品和服务进行修改、抵御诉讼、回应监管查询或行动、支付损害赔偿或对第三方采取其他补救措施。此外,补救工作可能不会成功,并可能导致中断、延迟或停止服务,不利的宣传,损害我们的声誉,客户对我们违约的指控,可能的诉讼,以及现有或潜在客户的流失,这可能会阻碍我们的销售或其他关键功能。
网络安全威胁在不断演变。我们仍然可能容易受到其他已知或未知威胁的影响,因为在某些情况下,我们、我们的客户和我们的供应商可能不知道事件或其规模和影响。我们还面临这样的风险,即我们通过向客户提供的系统使客户面临网络安全攻击,包括以恶意软件或上述其他类型的攻击的形式,这可能会损害我们的客户。此外,新冠肺炎疫情增加了我们组织内部的远程工作水平,这增加了网络安全事件的风险。
ASML对半导体行业的知名度和重要性持续增长。这可能会导致可能对ASML的安全或其员工的安全产生不利影响的行动。
此外,流程和系统可能无法充分支持增长。我们不时对我们的信息技术系统和软件进行更新,这可能会扰乱或关闭我们的信息技术系统。我们可能无法在不中断运营的情况下按计划成功推出和集成这些新系统。例如,我们目前正在实施新的企业资源规划系统和基础设施(一个方案)。由于这个系统的实施或其他原因,我们已经并可能继续在我们的运营中遇到中断。2021年,我们经历了新物流中心投产后的运营延误,导致一些产品的生产延迟。
法律和合规
我们受制于日益复杂的监管和合规义务
风险类别:违法违规
近年来,我们的业务在销售、运营、员工和我们的业务基础设施方面都有了显著增长。因此,遵纪守法的复杂性增加了。此外,随着我们在以前没有开展业务的国家扩大业务,我们越来越多地遵守这些司法管辖区的额外规则和法规,包括但不限于反腐败、反贿赂和反垄断标准,这些标准可能很复杂。我们还接受这些司法管辖区当局关于遵守规则和法规(包括税法)的调查、审计和审查。
此外,我们必须遵守的现有规则和法规,包括与贸易、国家安全、税收、外汇管制、报告、产品合规、反腐败法、反垄断、数据保护等相关但不限于的法规,正变得越来越复杂,贸易和国家安全环境导致限制越来越多。我们还面临着贸易和安全法规可能会限制我们在某些司法管辖区销售我们的产品和服务的风险。我们在发货许可方面遇到了延误,可能会遇到向某些客户发货的限制。
适用于我们业务的法规的这种变化可能会增加合规成本和不合规的风险。不遵守规定可能会导致罚款和处罚,以及声誉损害。此外,其他法规可能会影响或限制我们在某些司法管辖区销售我们的产品和服务的能力。
税收的变化可能会影响我们未来的盈利能力
风险类别:违法违规
我们在荷兰和我们业务所在的其他国家缴纳所得税。我们的实际税率过去波动,未来可能波动。
我们商业环境的变化会影响我们的实际税率。这同样适用于我们开展业务的国家税收立法的变化、经济合作与发展组织等全球组织推动的发展以及对逐个税务当局采取的方法的变化。所有这些举措已经并可能进一步增加反兴奋剂机构的遵约义务。此外,这可能会导致我们的实际税率在未来几年增加。
我们所在司法管辖区税收法规的变化可能会对我们的税收状况产生不利影响,从而影响我们的净收入。我们的全球有效税率受到我们所在国家/地区税收法律法规中包含的研发激励措施的严重影响。在这方面,荷兰的所谓创新盒子税法和我们在美国获得的外国衍生无形收入扣除/研发抵免就是一个例子。如果司法管辖区在这方面改变他们的税收政策,这可能会对我们的全球有效税率产生不利影响。此外,司法管辖区按不同的税率征收企业所得税。我们在不同司法管辖区的销售组合每年可能会有所不同,导致适用于我们利润的公司所得税税率组合不同,这可能会影响我们在全球的有效税率,并对我们的净收入产生不利影响。
其他风险因素
新冠肺炎或其他流行病可能会影响我们的运营
新冠肺炎大流行以及为应对这一全球大流行而实施的措施继续影响我们的业务以及我们的供应商和客户。这场大流行已经对全球经济产生了重大影响,这可能会影响我们的终端市场。
新冠肺炎疫情增加了我们组织内部的远程工作水平,这会影响生产率,可能会推迟我们的路线图,增加网络安全事件的风险,并可能影响我们的控制环境。此外,我们依赖我们的供应商,因此新冠肺炎疫情导致他们的运营中断影响了我们以及我们生产、交付和服务工具的能力。市场对半导体的需求以及我们的产品和服务也会受到新冠肺炎大流行的影响,并采取措施应对它。此外,我们业务的一个重要部分涉及在全球客户场所安装和维修工具,而旅行限制和疫苗接种要求会影响这一活动。
新冠肺炎疫情将如何影响全球国内生产总值发展、终端市场、我们的制造能力和供应链存在不确定性,而且疫情持续的时间越长,风险就越大。这场大流行对非典型肺炎的持续影响将取决于未来的事态发展,包括新冠肺炎大流行的持续严重性,以及荷兰和其他外国政府为控制疫情或应对其影响而采取的非我们所能控制的行动。
对股东权利的限制可能会削弱投票权
我们的公司章程规定,我们受制于荷兰法律中适用于大公司的条款,称为‘结构性制度’。这些规定的效果是将某些公司决策和交易的控制权集中在我们的监事会手中。因此,普通股持有者在面对监事会成员的行动时,可能会比我们在美国或其他司法管辖区注册成立公司时更难保护自己的利益。
我们的法定股本还包括一类累积优先股,我们已授予荷兰基金会Stichting Pferente Aandelen ASML以每股0.09欧元的面值收购此类累积优先股的选择权。行使优先股选择权将有效稀释我们已发行普通股的投票权的一半,这可能会阻碍或显著阻碍第三方收购我们的多数有表决权股份。
更多内容请阅读:我们2021年的业绩-治理-公司治理-管理委员会和监事会,以及合并财务报表-合并财务报表附注-附注22股东权益。
在任何一年中,我们可能不会宣布现金分红和进行股票回购计划,或者以任何特定的金额进行。
我们的目标是每半年支付一次股息,这种股息(按年率计算)会随着时间的推移而增长,我们还会不时地实施股票回购计划。任何一年的股息建议和股票回购金额将受到可分配利润、留存收益和现金的可用性的影响,并可能受到管理委员会对我们未来潜在流动性需求的看法的影响,包括对产能和营运资本需求的投资、我们研发计划的资金以及可能不时出现的收购机会,以及适用所得税和公司法的未来变化。我们还可能不时暂停回购计划,这将减少我们能够返还给股东的现金金额。因此,管理委员会可能决定建议不支付股息或支付较低的股息,并可能暂停、调整或停止股票回购计划,否则我们可能无法完成回购计划。
负责任的企业
我们是半导体行业的全球领先者。作为为芯片行业制造重要系统的创新者,我们有责任以身作则。我们的目标很明确,“通过将技术推向新的极限来释放人和社会的潜力”,我们希望我们的价值观反映在我们为追求目标所做的一切事情中。
除了我们战略中的物质重点领域外,我们还需要确保以负责任的方式开展业务。无论我们在哪里运营,我们都相信以诚实的态度开展业务,以最高的诚信标准行事,对于我们为利益相关者群体创造价值,以及我们公司的长期成功至关重要。
我们已制定企业政策及程序,详细说明我们的原则及合规性,指引我们作出正确决策及实践我们的价值观。在接下来的部分中,可以找到有关我们的商业道德和行为准则、合规性、尊重人权的责任、信息保护和税收等主题的更多信息。
商业道德和行为准则
我们致力于在经营所在的所有国家遵守适用的法律及法规开展业务。我们提倡和维护道德行为,培养鼓励和欣赏大声说话的文化。
我们寻求不断改进和专业化我们的道德和合规组织,以达到最高标准。2021年,我们继续扩大我们的道德联系网络,根据欧盟举报人指令的新要求更新了我们的直言不讳和不报复政策,并推出了我们更新的礼物和娱乐政策以及我们的内部竞争法合规政策。我们继续我们的培训计划,并专注于提高整个组织的意识。我们的下一次全球道德调查将于2022年进行。
我们的价值观--挑战、协作和关怀--指导着我们与同事、客户、供应商、股东和我们所服务的社区的日常交往。这些价值观反映在我们的行为准则(以下简称:准则)中。它为我们经营业务的方式设定了明确的期望和指导原则,并有助于培养诚信、道德和尊重的文化。连同一套实用的指导方针,它将诚信置于我们所做工作的中心。
ASML在很大程度上依赖于员工的技能、承诺和行为,才能继续取得成功,并对社会做出积极贡献。这就是为什么我们希望所有员工完全践行公司的价值观,并在任何时候都以正直和尊重的态度行事。我们要求我们的所有员工和业务伙伴遵守我们的守则。
十年来,我们一直是负责任商业联盟(RBA)的成员,该联盟是世界上最大的行业联盟,致力于全球电子行业的企业社会责任。作为澳大利亚央行的成员,我们通过了《澳大利亚央行行为准则》,这是一套共同的社会、环境和道德行业标准。我们的准则符合《澳大利亚央行行为准则》。为了加强我们对供应商网络的承诺,我们希望我们的主要供应商(约占我们总支出的80%)及其供应商遵守澳大利亚央行行为准则,并制定自己的战略、政策和流程以遵循该准则。这一要求包括在我们与产品相关的长期供应商的合同中。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。
我们的道德管理包括几个层面,包括:
1.我们的道德委员会由我们的首席执行官担任主席,向审计委员会和管理委员会报告。道德操守委员会负责制定政策和监督反兴奋剂机构遵守法律和道德要求的情况。道德操守委员会定期开会,就相关问题提供指导。
2.我们的道德委员会调查有关ASML在全球范围内可能违反行为准则的重大通知。
3.我们的道德办公室负责监督和实施我们的道德计划。所有可能违反ASML《行为守则》的报告都由一名道德操守干事进行筛选,所有重要报告都会与道德操守委员会进行讨论。
4.我们的道德组织包括员工,他们除了在ASML担任常规角色外,还在我们开展业务的所有国家/地区担任道德联络员。他们是值得信赖的代表,是员工提出与道德相关的问题和关注的第一个本地联系点CS.
我们的行为准则原则
| | | | | |
| 我们的承诺 |
我们尊重人们 | ASML致力于维护一个安全和健康的工作环境,尊重人权,符合国际法律法规和行业标准,如澳大利亚皇家银行行为准则。文化、教育和人才的多样性使我们成为一个更强大、更具创造力和创新力的公司。通过共同努力,并利用这些价值观来指导我们,我们创造了一个基于相互尊重的环境——一个比我们任何人都能单独获得更好的结果的环境。 |
我们诚信经营 | 强大的诚信和合规文化是ASML业务成功的基础。我们将“诚信”定义为诚实、真诚、谨慎和可靠。合规性不仅意味着遵守法律法规,也意味着我们的高道德标准。我们诚信的声誉是宝贵的资产。对于我们来说,在任何时候都必须展示个人和商业诚信。 |
我们致力于安全和社会责任 | 技术普及到社会的各个方面。通过帮助制造更实惠、更强大的芯片,ASML可以发挥重要作用——不仅是声誉和结果,而且在环境方面也是如此。这就是为什么ASML致力于负责任地开展业务,在履行法律和道德义务的同时实现可持续增长。我们的目标是以关怀及负责任的态度达成主要原则所概述的业务目标。 |
我们保护我们的资产 | ASML最有价值的资产是它的人员和知识,这两者都受到高度重视和保护。我们的‘资产’包括知识产权(IP),它是指技术诀窍、产品数据、商业数据和个人数据等无形资产,以及开展ASML业务的产品、工具、资金和计算机等有形资产。我们公司希望任何受托管理ASML资产的人都要确保这些资产的安全,使其不会丢失、损坏、滥用或被盗。 |
我们鼓励您沟通并大声说出来 | 为履行我们的承诺,坚持本守则中所述的高标准诚信,沟通是关键。我们努力创造一个鼓励员工之间以及员工与第三方之间进行公开对话的工作环境,让员工感到舒适和尊重,并且他们可以信任对方做正确的事情。如果您发现或怀疑有违规行为,我们鼓励您大声说出来。 |
我们的代码可在我们的网站(www.asml.com)、我们的内联网和我们的员工应用程序中向所有利益相关者提供。
促进道德行为
我们提供专门的道德和合规计划,提供必要的支持、建议、培训和沟通,使员工和其他人能够理解和遵守我们的准则。它通过各种沟通渠道提高认识,培养高度诚信的文化,从而做到这一点。它还有助于创造一种开放和诚实的文化,促进整个组织遵守法律和ASML政策。
2021年,我们继续扩展我们的道德培训课程,引入了两个新的模块-‘我们尊重人’和‘礼物和娱乐’-以及推出更新的政策。我们的目标是在来年为所有员工准备好所有六个模块。
除了向所有员工提供的通用模块外,课程还将包括经理特定模块-将于2022年前完成。该课程旨在支持管理层的决策,宣传我们的准则和其他与合规相关的主题,并提高人们对道德行为和我们的直言不讳和不报复政策的重要性的认识。它还提供了关于处理诸如工作中的个人关系、利益冲突、处理文化差异以及围绕辅助活动或ASML以外的其他职位的伦理方面的主题的信息和指导。在我们的培训计划中,我们特别关注所有新员工;在ASML开始的前三个月内,他们会收到完成课程第一模块的邀请。
2021年,我们改变了方式,从专门举办道德意识周转变为全年参与一系列各种互动话题讨论。我们举办了两次“我们的价值观在行动”会议,在会上,我们公司多个职能部门的领导人解释了ASML的价值观--挑战、协作和关怀--如何与他们正在做的工作联系起来,公司周围的员工分享了他们是如何实际体验到这些价值观的。
鼓励人们畅所欲言
从上一次全球道德调查中获得的一个关键见解是,员工有时可能不愿报告有害、歧视或不道德的行为,因为担心这样做的后果。因此,我们在2021年更新了于2021年10月底启动的直言不讳和不报复政策,并实施了修正案,以满足欧盟举报人指令的要求。在这一进程中,我们的重点是将不报复的概念纳入政策的核心。我们坚信,员工应该放心地向公司表达他们的担忧,而不会因为害怕报复而感到担忧。这些政策和程序让员工放心,他们可以报告违规行为,而不必担心后果。ASML对报复行为零容忍。
该政策包括我们的道德调查程序,其中概述了道德投诉的调查阶段,从接收到补救行动和最终结案。
有关直言不讳、不报复、我们的道德调查程序、匿名和隐私的更多信息,请参见www.asml.com上公开提供的我们的直言不讳和不报复政策。
我们鼓励每个人,包括外部业务合作伙伴,如供应商、承包商和其他工人,表达他们对可能违反我们的准则、我们的公司政策、法律和我们的价值观的任何担忧。我们提倡一种开放的信任和诚实沟通的文化,在这种文化中,违反守则的行为是不被容忍的。我们有几种不同的
直言不讳服务内部的渠道,包括在线报告工具(由独立的外部服务公司托管)、我们开展业务的每个国家/地区的电话号码、专用电子邮件地址以及通过我们的道德联络人。对于喜欢匿名的员工或外部利益相关者,可以使用直言不讳服务匿名报告违规行为。道德操守办公室的作用是评估每一份直言不讳的报告,并采取适当行动处理报告,以便适当的机构能够采取任何适当的补救行动。
我们审查和评估所有发言信息,并在可能的情况下通过向报告方提供反馈来跟踪所有这些信息。如有必要,我们将与报告方和/或对方接触,以了解发言信息的性质,并进行更详细的分析和/或调查。当需要时,我们会实施补救措施,以防止复发。
2021年登记道德相关报告396件(2020年登记229件)。我们将这一增长视为我们的员工和外部业务合作伙伴感到放心和受到保护的迹象,可以报告他们的担忧。我们将这一结果归因于实施的改进措施,但我们也注意到与新冠肺炎有关的大量报告,如旅行限制、疫苗接种、检疫和具体国家的措施。绝大多数报告涉及的是问题,而不是对潜在不当行为的关切。另一个增加的领域涉及利益冲突问题。
在这些Speak-Up报告中,提出了十起投诉。这些都遵循正式的调查程序。截至本年度报告发布时,五起投诉的调查程序已完成。其中,有四起投诉被认为未经证实--没有违反《守则》--并且对一起投诉采取了纪律措施--终止雇用。其余五起投诉仍在正式调查过程中。
2021年,我们没有因违反道德法规而受到任何罚款。
法律合规性
我们的法律合规职能监督对各种与监管合规相关的领域的遵守情况,并就监管框架向管理层提供建议,包括法律和法规的变化,努力确保我们在开展业务时遵守所有相关的国家和国际法律和法规,以及专业标准、公认的商业实践和我们自己的内部标准。这些监管合规领域的例子包括我们的证券和内幕交易、竞争法(反垄断)以及反贿赂和反腐败。当需要时,我们的法律部门负责任何监管调查。
反贿赂和反腐败
ASML不容忍贿赂或腐败或对他人或我们自己的任何形式的不正当影响。我们致力于个人和商业诚信的最高标准。我们的反贿赂和反腐败政策于2020年更新,详细说明了我们对坚定的道德和诚信的承诺,以及我们为防止ASML的贿赂和腐败而采取的措施。它还要求遵守适用的反贿赂和反腐败法律以及《反兴奋剂机构行为守则》。欲了解更多信息或下载该政策,请访问www.asml.com。
2021年4月,我们推出了修订后的礼物和娱乐政策,详细说明了所有ASML员工在赠送和接受礼物或娱乐(包括商务用餐)方面的预期行为,并支持我们以专业、道德和透明的方式开展业务的承诺。这项政策也是我们合规、反贿赂和反腐败计划的关键要素。我们要求我们的员工始终遵守这一政策,使用常识,并在必要时寻求本政策中概述的指导或支持。该政策的一个重要新内容是,要求事先批准某些类别的第三方礼物或娱乐活动。这使我们能够在这些类别中记录赠送和接受的礼物和娱乐,这支持我们遵守政策以及法律和法规。赠送和接受礼物和娱乐永远不应影响或似乎影响我们商业决策和交易的诚信,或有关各方的忠诚度。ASML不允许员工接受或提供便利费,也不允许代表公司进行政治捐款。
2021年,我们修订和更新了关于反贿赂和反腐败主题的培训课程,主要是作为更新的道德培训课程的一部分,并通过向特定利益攸关方群体提供额外的课堂培训。我们正在进一步加强我们的全球第三方尽职调查计划。
在2021年的报告年度,在贿赂和腐败领域没有对ASML的监管罚款或行动。
竞争法合规政策
ASML认为遵守竞争法是其业务的重要组成部分。竞争法(又称“反垄断法”)保护有效竞争,以确保市场的最佳运作。竞争法影响到ASML日常业务的许多领域。它影响我们与客户、供应商、联合开发人员和其他业务伙伴的交易和互动。
在与我们的业务伙伴打交道时,我们致力于公平竞争和公平的原则,包括供应商、合作开发商、客户和其他行业同行。因此,ASML不容忍任何形式的被认为是非法的行为
根据适用的竞争法或违反我们的行为准则,我们不会与采取反竞争行为或建议进行非法行为的业务合作伙伴开展业务或进行合作。
为此,反垄断法制定了一般性和具体的控制措施,以防止、发现和披露潜在的竞争法问题,包括:
竞争法合规风险评估:
反垄断法定期对相关竞争法重点领域进行风险评估。这项评估确定并考虑了从竞争法的角度可能存在的风险、已经实施了哪些控制措施、剩余的风险是什么以及将采取哪些措施来减轻任何剩余的风险。
政策审查:
我们的竞争法合规政策体现了我们对确保遵守适用的竞争法和我们的行为准则的持续承诺。员工或业务合作伙伴违反本政策的任何行为将被视为严重违反ASML的行为准则。因此,这可能导致适当的纪律措施,包括解雇。ASML定期审查本政策。我们于2020年发布了《政策》的公开版本,并于2021年发布了《内部政策》的更新版。
培训和认识:
ASML的竞争法培训计划包括不同方法的组合;基于计算机的培训课程和面对面培训课程。通过定期通信,例如通过在反垄断法协会的内联网上发表演讲和文章,或通过电子邮件通信,也促进了对与竞争法有关的专题和问题的认识。
与业务伙伴的联系:
ASML期望其业务合作伙伴(如客户、供应商、顾问、承包商、中间商等)以展示与我们自己一致的高标准的道德行为。ASML不会与诉诸反竞争行为或建议进行非法行为的商业伙伴进行业务或合作。ASML坚决谴责其商业伙伴的任何反竞争行为。
报告和解决问题、违规或投诉:
ASML将支持拒绝参与反竞争行为或报告可能违反我们政策的员工和业务合作伙伴,这在我们的直言不讳和不报复政策中有明确规定。ASML不容忍对严格遵守竞争法规则的员工或那些直言不讳的员工进行任何形式的报复或其他形式的不良后果,即使ASML因此失去业务。
欲了解更多信息或下载ASML的公共竞争法合规政策,请访问www.asml.com。
隐私保护
我们致力于尊重和保护员工、客户、供应商以及与我们有业务往来的所有人的隐私权。个人数据以专业、合法和道德的方式管理,符合我们的行为准则,并遵守适用的法律和法规。
我们已采取技术和组织措施,防止意外或非法销毁、丢失、更改、未经授权披露或访问个人数据。我们的隐私政策从ASML作为一个全球组织的角度设定了最低要求。该政策对所有ASML员工具有约束力,并适用于处理我们员工、求职者和业务合作伙伴(如客户、供应商、访客和其他个人)的个人数据。
专门的隐私和个人数据保护计划确保我们遵守高标准的个人数据保护标准。我们的隐私计划包括以下内容:
•治理:在高级管理层,企业风险委员会负责监督隐私问题,而隐私办公室负责管理隐私框架并提供协助和指导。每个员工都有责任阅读和理解隐私政策的内容和含义。
•制度和程序:隐私控制框架包括130项隐私活动,包括隐私影响评估和数据保护影响评估。隐私控制框架包括在我们的企业资源管理过程中。
•纪律处分:我们调查在我们的隐私门户网站上登记的所有事件、关注事项和登记的潜在违规报告,这些事件、问题和报告在我们的个人数据泄露程序中概述。我们采取了适当的控制措施和纪律处分,以防止再次发生。
•审计:隐私包含在我们的内部审计计划中。我们针对业务合作伙伴和招聘的隐私声明均来自我们的隐私政策。他们解释了为什么收集个人数据以及ASML如何使用它。
2021年,我们更新了面向员工、求职者、商业伙伴和访客的全球隐私通知。新的隐私通知反映了ASML对个人数据的最新处理,并符合适用的隐私法律和法规的要求,例如GDPR(欧盟)和CCPA(美国)。
尊重人权
我们在公平、诚信和诚信的基础上开展业务,我们希望所有的合作伙伴都能做到这一点。为此,我们还认为,我们有责任尊重人权,促进产生积极影响。
我们致力于尊重普遍人权,尊重《行为守则》所表达的道德价值。我们支持《经合组织多国企业指导方针》、《联合国商业和人权指导原则》以及国际劳工组织《多国企业和社会政策三方原则宣言》中确定的原则。我们制定了一项人权政策,该政策可在www.asml.com上公开查阅。
我们的人权政策是对我们的ASML行为准则和我们遵守的澳大利亚央行行为准则的补充。它表达了我们在我们的运营和供应链中对人权和负责任的劳动实践的承诺。人权政策适用于ASML及其在世界任何地方的子公司。在我们的直接业务中确定和管理人权问题的总体责任属于我们的执行副总裁总裁人力资源部的职责范围。我们供应链中的人权责任属于我们采购和供应链执行副总裁总裁的职责范围。
界定突出的人权问题
突出的人权问题是那些通过公司的活动或商业关系面临最严重负面影响的人权问题。我们评估了整个价值链对人们人权可能产生的影响。我们一方面集中精力寻求利益相关者的意见,另一方面对我们最初的突出问题进行尽职调查。我们在《行为准则》、《人权政策》和《澳大利亚央行供应商行为准则》中强调了我们解决和积极参与突出人权问题的承诺。我们通过各种方式确定和管理人权问题,例如利益攸关方参与、我们业务中的内部人权评估以及供应商的尽职调查和可持续性风险管理。更多内容请阅读:我们的供应链。
2021年,我们没有收到任何关于侵犯人权的申诉。
我们的业务
2019年,我们进行了一次风险评估,以确定我们自身行动中与人权有关的内在风险。我们的分析结果表明,ASML自身运作中的人权脆弱性的内在风险是工作时间和加班、健康和安全以及工作场所骚扰。ASML范围内确定的易受伤害的权利持有者群体是承包商、少数民族和移徙工人。这项评估计划于2022年进行更新。此外,我们还定期进行EHS内部审核。更多内容请阅读:确保员工安全。
工作时间和加班
在我们运营的地点,每周标准工作时间平均为40小时。我们公司的标准是基于国际劳工组织的国际劳工标准(每周工作40小时公约)和澳大利亚央行的标准。每周工作时间不得超过当地法律规定的最长工作时间,每周工作时间不得超过60小时,包括加班时间,除非在紧急或特殊情况下。我们经常注意保护我们的员工在高峰期不加班。由于加班仍然是管理层的一个重要关注点,我们一直在监测加班的使用情况,并采取适当的措施来管理情况。
健康与安全
我们有义务为所有员工和在我们办公场所工作的其他人提供安全和健康的工作条件。在我们的产品和流程中,我们考虑如何使ASML成为一个安全的工作场所。我们在创建意识和在ASML中建立积极的安全文化方面投入了大量的努力。更多内容请阅读:确保员工安全。
工作场所骚扰
我们是一家全球性公司,业务遍及16个国家和地区的60多个地点。我们拥有一支文化多元化的劳动力队伍,122国籍。这导致围绕人权中的工作场所骚扰问题的固有风险更高。更多内容请阅读:商业道德和行为准则。通过我们的道德计划,我们提高了人们对道德行为重要性的认识,以及我们的直言不讳和不报复政策。它还提供了关于处理诸如工作中的个人关系、利益冲突、处理文化差异以及围绕辅助活动或ASML以外的其他职位的伦理方面的主题的信息和指导。
我们的供应链
我们通过基于风险的方法评估供应链中与人权有关的风险。在我们的尽职调查过程中,我们使用澳大利亚央行风险评估平台来识别我们整个供应基地的劳工(包括人权)、道德、健康和安全以及环境标准方面的内在风险。如果发现与劳动力相关的中等或高度风险,我们将与供应商接触并进行更详细的分析。对于占我们产品相关支出约80%的战略供应商,我们预计他们将完成每年的澳大利亚央行SAQ。这份SAQ涵盖了400多个与劳工(包括人权)、道德、环境和安全因素、控制因素和管理体系有关的风险因素,包括他们的表现。它帮助我们确定供应商在可持续性方面的风险概况。当我们确定合规差距时,我们与供应商接洽以确定纠正行动计划(S)。
我们定义的突出问题涉及工作条件(强迫劳动和抵押劳动)、健康和安全以及工会权利。然而,在高科技行业运营,我们的大多数供应商都在法治很强的国家运营,都是守法的。我们认为这种固有风险很低。更多内容请阅读:我们的供应链。
信息安全
与其他公司一样,ASML也越来越容易受到网络攻击。这些攻击可能会对我们的业务、声誉、收入、运营或财务健康产生不利影响,特别是当它们违反数据保护规则并危及我们客户或合作伙伴的机密信息时。随着ASML在半导体行业的独特地位和日益增长的风险敞口,我们看到了越来越多的安全风险趋势,从勒索软件和网络钓鱼攻击到内部威胁和渗透企图,以获取我们领先的知识产权(IP)或中断业务连续性。
2021年,ASML遇到了大约20,000起安全事件,其中大部分来自网络钓鱼攻击,影响较小。根据Verizon进行的外部研究报告《2021年数据泄露调查报告(DBIR)》,数据泄露中的钓鱼攻击发生率从2020年的25%上升到2021年的36%。随着过去几年网络攻击的增加,我们也加强了我们的资源和能力,从大约10年前的10 FTE增加到2021年致力于安全事务的约250 FTE。
安全--就像安全和质量--是信任ASML品牌的先决条件。我们的客户和合作伙伴必须能够依赖我们产品和服务的安全性、安全性和质量。ASML的存在是以人和知识为基础的。我们的特定知识和知识产权使我们比我们的竞争对手具有领先优势,因此保护它们至关重要。
随着ASML与其生态系统合作伙伴共同创新,这些合作伙伴需要访问我们的系统。由于这条链是最薄弱的一环,我们需要确保我们的合作伙伴以安全的方式访问我们的系统。ASML的安全信任圈旨在认证和协助我们的生态系统合作伙伴提高他们的信息安全成熟度。
我们的安全治理由三个级别组成:
1.我们的企业风险委员会(CRC)是一个中央风险监督机构,负责审查、管理和控制ASML风险领域的风险,包括信息安全。它还批准了风险偏好、风险管理政策和风险缓解战略。儿童权利委员会定期向审计委员会和管理委员会报告,由首席财务官(CFO)担任主席,并由ASML所有部门的高级管理人员组成。
2.我们的安全委员会是儿童权利委员会的一个小组委员会,负责验证与信息安全相关的风险偏好以及经过验证的政策和路线图。它密切监控整个公司安全风险的缓解。
3.中央安全部门,由首席信息安全官(CISO)领导,作为信息安全风险的所有者,并得到各部门安全风险管理小组的协助。CISO处于第二道防线,有权通过构建控制的安全路线图来推动政策,并监督作为第一道防线的部门控制的有效执行。
信息安全弹性框架
我们对安全的愿景是,它需要嵌入到我们的人员、流程和技术的DNA中。为了确保这一点,我们创建了专门的安全职能,以预防和管理安全风险。我们的使命是通过对支持我们业务目标的人员、流程和技术应用基于风险的高效措施,使ASML能够控制对公司、其客户和供应商的信息和资产的保护。为了实现这一愿景和使命,我们追求并部署我们的安全战略,以实现最高水平的成熟。
我们通过在其14个域中应用ISO27001信息安全标准并推动安全成熟度(从策略设置、资产管理和访问控制到事件管理等)来开发我们的信息安全框架。对于这些领域中的每个领域,我们都有量身定做的控制措施,并定期进行评估,以确保合规性和有效性。此外,我们还配备了事件报告工具,以确保可以报告、关联和调查所有IT和信息安全问题。
人和知识是ASML商业成功的关键。未经授权披露ASML的信息,或其创新生态系统中客户或供应商的信息,可能会使竞争对手受益,对ASML申请专利的能力产生负面影响,或对与客户和供应商的合作产生负面影响。同时,ASML的运营依赖于可靠的信息处理,未经授权更改这些资产的信息内容可能会损害执行业务的能力。因此,确保信息的机密性和完整性至关重要。为了确保我们的员工了解安全政策并知道如何采取行动,我们提供强制性的安全意识培训,并主办一年一度的安全意识周,在此期间,我们提供更多信息并分享经验。
在我们的供应链网络中,我们使用单一模型对我们的合作伙伴进行风险评估,他们也使用该模型来筛选其供应商。我们还与同行、合作伙伴和一流的安全解决方案提供商保持密切联系,并通过渗透测试(道德黑客)定期测试我们的安全解决方案,以确定可利用的问题,以便实施有效的安全控制。
建立安全信任圈
在ASML,我们与公司内外的合作伙伴密切合作,在基于信任的创新生态系统中开发我们的技术。在互联生态系统中进行创新和协作需要超越企业边界的安全信息共享,因为网络攻击的脆弱性已扩展到整个生态系统的边缘。
因此,ASML于2021年启动了“安全信托圈”计划,以保护我们在荷兰Brainport Eindhoven地区的创新生态系统。“信任圈”是一个由供应商组成的网络,他们共同接受相同的信息安全标准并根据这些标准提高绩效。该网络还推动ASML、供应商和生态系统合作伙伴之间的知识和最佳实践交流。
我们分享有关网络事件的最佳实践和信息,以帮助我们的创新合作伙伴发展和加强安全成熟度。目标是保护知识产权并保护行业和地区免受勒索软件等网络犯罪的侵害,共享相关威胁情报,就安全主题进行合作,并共同变得更加安全。
2021年,我们与排名前10位的主要供应商和50多家邻近公司举办了大师班,以提高该地区的信息安全意识和知识,并分享实用的提示、技巧和策略,例如打击勒索软件。
知识产权保护
我们的公司是以人和知识为基础的。我们的专业知识使我们比竞争对手具有领先优势和领先优势。为了继续经营,保护我们自己的知识以及我们的客户和业务合作伙伴委托给ASML的信息是关键。专利是保护ASML的研究和开发投资不被ASML的竞争对手使用的一种方式,也是保护ASML的客户、供应商和联合开发人员利用ASML的一种方式。我们与由许多不同的公司和机构组成的生态系统合作伙伴一起创新和开发我们的技术,每个公司和机构都需要一种专门的方式来处理知识产权(IP)问题。
ASML的一般知识产权战略有三个目标:
•通过保护ASML的发明,建立和维护坚实的知识产权组合。
•防止ASML侵犯第三方知识产权的情况。
•根据ASML的知识保护计划,防止向外部世界泄露包括专有技术和商业秘密在内的机密信息。
我们的企业知识产权部门的任务是加强我们的全球专利组合,以及保护我们的专利。该部门的使命是最大化ASML的知识产权价值,执行和支持ASML的总体目标,并维护ASML的运营自由。为了保护我们在尖端技术方面的技术领先地位和研发,公司知识产权部门参与了产品的生成过程,以确保ASML的产品不会面临侵犯第三方知识产权的风险。该部门对新产品进行评估,以确定它们是否可能侵犯第三方的任何相关权利。
我们在复杂的研究和开发方面的重大投资证明了强大的知识产权组合是合理的。我们建立了知识产权管理机制,维护我们的知识产权,尊重其他各方的知识产权。这其中包括专门的知识保护计划、对工程绝密的限制访问、信息安全计划、强制性信息保密以及培训和意识计划。阅读更多内容请参阅:负责任的企业-信息安全。
早在2021年初,我们就注意到有报道称,与Xtal Inc.有关联的一家公司正积极在中国营销可能侵犯ASML知识产权的产品。2019年,ASML因在美国盗用商业秘密而获得了损害赔偿金。作为回应,我们联系了一些客户,敦促他们不要协助或教唆东方晶源电子(DFJY)进行任何此类潜在的侵权行为。此外,我们向中国当局表达了我们的关切。反洗钱组织正在密切监测局势,并准备在适当情况下采取法律行动。
产品安全
我们想要创新,但始终把安全放在首位。时刻提供安全的工作环境是我们的责任。我们专注于产品生命周期的每个阶段的安全:研发、生产、运输、安装、维护、升级和退役。我们还确保覆盖所有利益相关者群体,包括员工、客户、供应商、承包商和访客。
我们如何管理产品安全
安全的产品从好的设计开始。第一步是通过产品设计消除风险,由于人的因素在产品的安全运行中发挥着重要作用,我们尽量防止它们成为风险因素。这方面的一个例子是,我们联锁激光活动,以限制员工接触危险激光的方式。这有助于防止工作场所活动演变为潜在的事故。
我们注重硬件设计的安全性,其次是安全的程序-预防是关键。我们力求确保我们开发的所有产品和工具符合世界上最严格的产品安全法规,以及适用于我们开展业务的国家/地区的法律。在没有安全预防措施来应对潜在危险的情况下,我们会制定自己的安全预防措施。
我们有明确的系统和流程来支持我们的产品安全方法。当我们开始设计我们的系统时,我们的安全工程师会进行初始安全风险评估(SRA)。它们考虑了我们已经确定的九个关键风险领域,并在风险专家认为设计可能构成人类安全风险时向他们发出警报。我们的产品设计师经过培训,能够在设计过程的早期阶段发现任何安全问题。在整个产品开发过程中对SRA进行评估。
在产品生命周期的每个后续阶段,我们都会评估产品安全性。我们通过我们的事件报告系统跟踪任何报告的与产品相关的事件,包括供应链事件。每年,我们都会为管理层提供产品安全审查,报告过去一年的任何产品安全事件。与往年一样,2021年,我们可以自豪地说,没有发生由我们的设备造成的可记录的事件。
在我们的内部测试实验室内
随着我们系统的技术复杂性增加,为了防止现场故障而进行测试的必要性也在增加。我们的测试实验室提供硬件测试能力,以尽早根除设计中的潜在风险和缺陷。在设计过程的早期测试可以防止客户晶圆厂出现部件故障,同时也有助于D&E从风险到结果实现更稳健的产品设计。
多年来,我们开发了模块化测试平台,以缩短平均测试间隔时间(MTBT)并标准化测试实验室设备。例如,在模块化真空测试平台中,大约80%的真空相关部件风险可以被表征和测试,并且可以使用标准化的硬件和软件接口灵活地添加额外的测试环境,如气体、高压和温度。
我们的Veldhoven工厂有24个实验室,总实验室面积约为1500米2。这些实验室为多达100个测试设置提供了高科技测试环境,范围从标准螺栓摩擦测试到定制的执行器测试。
随着我们的发展,我们的产品复杂性和我们运营的地理位置的数量也在增加,因此评估哪些安全法规和法规适用于我们的产品和工具变得更加复杂。与此同时,确定我们需要遵循的规则和程序也更加复杂,以证明这一合规。我们的一些技术是如此创新和新,以至于人们并不总是立即清楚地知道哪种监管制度适用。
2021年,我们成立了企业监管和合规办公室,负责确保我们的产品符合产品安全政策。监管委员会负责ASML产品安全合规的决策和消除不合规的战略,监测合规状态并推动风险缓解。在每月的会议上,监管委员会讨论不遵守规定的情况,并根据提交的缓解计划做出决定。这使我们能够进一步提高我们评估哪些法律和法规-包括限制危险物质(RoHS)和化学品注册、评估、授权和限制(REACH)-适用于我们运营的每个国家/地区,如何解释它们,以及我们的产品和工具是否符合。我们一如既往地为我们的机器提供安全文件,包括部件的安全测试结果和机器的功能-考虑到法规要求。
2021年,我们调查了在我们的晶片平台上使用特氟龙涂层是否符合关于持久性有机污染物(POP)的国际法规。特氟龙是一种名为聚四氟乙烯(PTFE)的合成化学品的名称,被认为是一种持久性有机污染物。我们的分析结果显示,特氟龙的浓度为0.027 ppb(最坏情况),远远低于25 ppb的限值。
确保安全合规
我们的D&E安全能力线索近在咫尺,为特定的安全危险提供全面的工作方式和设计规则的知识。我们开发的产品和工具符合欧盟安全指令和半导体行业指南(SEMI S2),以确保在任何时候都考虑产品安全。这些指南包含在安全系统性能规范(安全SPS)中。我们还考虑了客户特定的安全指南。
我们出货的每一种产品都符合半S2标准。2021年,我们出货的每一种产品都提供了一份确认SEMI S2合规性的报告。我们还拥有所有ASML产品和工具的CE符合性声明。
提高供应链中的产品安全性
确保产品安全不仅限于我们的设施。我们的大部分创新和开发都是在我们的供应商现场进行的。安全是ASML的关键优先事项,我们希望确保我们运输的所有产品都符合最严格的法律,包括我们的客户和价值链合作伙伴所做的设计。我们的目标是确保我们的同事和合作伙伴有能力提供安全合规的产品,这样我们就可以避免安全事故或事件,避免与安全相关的不合规问题或延迟发货。
为了实现这些目标,我们与供应商密切合作,制定了端到端流程,以确保我们通过供应商购买的产品和工具符合我们的安全要求。我们在供应商简介中增加了产品安全要求和能力,这是我们与供应商沟通和衡量绩效的方法。我们对供应商进行筛选,以评估他们如何满足特定的安全要求,首先是供应商自我评估调查,然后是根据需要进行现场审计,然后是差距关闭审查。我们希望我们的供应商也为我们提供与安全相关的数据和他们为我们制造的部件或工具的支持文档。这一过程使供应商能力评估成为减轻可能的安全风险的一种主动方法。
危险品
我们在2020年成功完成了“危险货物”项目的第一阶段,其中包括任命了一名专门负责处理“危险货物”技术能力的专家,并采用了与危险货物运输有关的最佳做法。在常设组织有了基线后,我们在2021年集中精力进一步改进这一进程。该项目的第二阶段将集中在三个方面-在TeamCenter(我们的知识共享数据库)中引入相关的(危险特性)属性,在前端(材料数据库和危险物质管理)连接到具有危险特性知识的过程,以及在供应商部件设计过程中包括危险特性/危险货物信息。通过在早期阶段识别哪些材料是危险的,我们可以及时、高效地采取安全处理和运输的措施。
RoHS和REACH
我们致力于遵守欧盟关于处理危险材料和化学品的指导方针、所谓的RoHS指令和REACH法规,即使我们生产的产品目前被排除在RoHS指令之外。只要有可能,我们的目标是减少和消除任何有害物质的使用,并将不符合要求的部件更换为符合RoHS标准的替代品。
REACH法规不断变化,这是一个潜在的挑战。每年,危险物质清单上都会增加新的内容。由于ASML机器由数千个不是在ASML地点制造的部件组成,我们需要与供应商保持非常密切的沟通,以确定我们产品中的高度关注物质(SVHC)含量。然而,我们庞大的供应商组合和每六个月更新一次的SVHC名单意味着这一过程具有挑战性。目前,有75种物质和物质组需要评估,其中一些物质包含10种以上的单独物质。
2021年,我们更新了REACH政策,并在我们所有地点的D&E业务和我们的全球供应链中进一步嵌入了REACH合规。同时,我们还将我们的程序与新的欧盟立法和欧盟的危险材料“SCIP”数据库保持一致。
水管理
半导体制造过程需要大量的水。由于气候变化,干旱变得更加极端和不可预测,这可能导致水在特定地点成为一种稀缺资源。虽然水是我们客户半导体制造过程中必不可少的资源,但我们自己的运营中的用水量是有限的。ASML的产品被设计成按照“闭环系统”(循环系统)来使用水。在我们的制造过程中使用水的目的是保持系统的凉爽,以防止在曝光过程中释放的热量。
ASML的用水量只占半导体行业大多数公司用水量的一小部分。尽管如此,我们在整个公司提倡负责任地使用水。2021年我们的用水量从2020年的860,000立方米增加到1,041,000立方米,这一增长可以归因于Veldhoven制造设施的扩大,产品产量的增加,以及我们报告范围从之前的20个地点扩大到2021年的57个地点。我们用的水来自市政供水。2021年,我们在荷兰实施了雨水与其他类型废水的分离,我们正在探索重新利用这些水的方法。
尽管供水中断可能会对我们的一些客户构成重大风险,但ASML与水相关的风险是有限的。我们有七个生产基地,其中四个主要工厂是荷兰的维尔德霍温、美国的圣地亚哥、美国的威尔顿和台湾的林口。更多阅读:我们的TCFD建议:与气候相关的披露,可在www.asml.com上获得。
卓越的运营
ASML在过去几年中取得了强劲的增长,这要归功于突破性的创新和技术领先。我们已经引进了几代尖端芯片制造系统,并在半导体设备制造行业建立了强大的市场地位。随着我们作为一家公司的成熟和在这一地位上的发展,我们正在努力不断改善客户体验,帮助客户降低拥有成本。客户同时考虑系统的成本和运行成本。因此,提高质量需要端到端的方法。我们需要审视整个链条,以确定真正的问题并找到解决方案。我们寻求将我们的创新能力与卓越的运营结合起来。
我们的新企业计划
我们业务运营的强劲增长和公司的发展促使我们审查我们的工作实践,并确定我们可以在哪些方面提高运营流程的效率,以改善客户体验和释放业务价值。我们投入了大量的精力来重塑我们的流程和IT格局。我们的新企业(ONE)计划的核心是改善我们的业务流程和IT企业管理系统。它建立在近年来为改进我们的IT系统而采取的步骤的基础上,这些IT系统是在20世纪90年代建立的,没有针对定制的客户解决方案进行优化。这是一项为期多年的计划,推广工作将分阶段进行。
One计划解决了由具有大量定制应用程序的零散应用程序环境所产生的复杂流程。其目的是在引入标准化的同时确保灵活性。其中一个将通过简化流程,使ASML能够以更统一和更有效的方式运作,以确保建立一个面向未来和更可持续的系统。该计划采用跨部门、全公司范围和端到端的方法,使我们能够为利益相关者提供更高的业务价值,我们将其定义为:
•股东:提高我们产品和服务的竞争力
•客户:提高性能,对我们的产品和服务进行可靠的产品生命周期管理
•供应商:通过分离的计划,对部件、工具和时间安排提出稳定和明确的要求
•员工:通过简化、标准化和跨部门运营增强能力
质量文化
ASML致力于通过提供高质量、可持续的产品和服务来提供高水平的客户满意度,这些产品和服务始终满足或超过我们客户的期望。质量和卓越的运营是我们技术领先的基本要素。这种领导力得到了全公司质量文化的加强,这种文化创造了一个卓越的环境。与我们的供应商和合作伙伴一起,我们确保我们的产品和服务具有高水平的性能。作为一个学习型组织,我们不断改进我们的产品和流程。
我们的质量文化的目标是缩短成熟产量的时间,并通过以下几种方式确保我们产品和服务的端到端质量:
•第一次正确:将风险管理流程应用于产品和执行,以最大限度地减少对客户的影响。
•零缺陷:嵌入控制以保证遵守我们的政策、流程和程序。
•零重复:从失败中吸取教训,防止再次发生,推动我们的产品、服务和流程的结构性改进。
我们成立了一个质量计划评审委员会,由我们的首席运营官(COO)担任主席,负责指导和监控质量。我们还致力于国际公认的质量管理体系和标准。我们的质量管理体系符合ISO 9001:2015标准,并通过第三方认证。这表明我们强大的质量治理,有效的质量管理体系,以及整个公司的质量合规性。
2021年质量日:学习的力量
全球有超过7,500人参加,质量日的主题将聚光灯放在学习的习惯上,通过展示‘学习就是关爱’--关爱我们的产品、我们的客户、我们的同事和我们的商业伙伴。以在线、现场和混合形式举办了150多个讲习班、培训、最佳做法分享会、招贴会和模拟。
例如,在D&E中,根本原因分析逃生室体验向参与者展示了对比思维,这是一个可以用来解决复杂技术问题的过程。另一个例子是模拟几个部门之间的成本决策会议,在那里,工程师可以体验到,例如,在那些给定的情况下,作为客户支持经理是什么感觉。
除了这些质量市场计划外,今年我们还推出了由业务线组织的跨行业HaQathons,解决了重复使用、诊断、供应商工艺和客户之旅等领域的业务质量挑战。来自各行业的同事应邀合作,提出新的见解和想法,以应对这些挑战,并为业务和客户创造价值。
融资政策
我们继续坚持我们长期以来的审慎融资政策,该政策基于三个基本要素:
•流动性:保持金融稳定,目标是将我们的现金和现金等价物以及短期投资保持在2.0至25亿欧元的最低范围以上
•资本结构:维持一个目标是稳定的投资级信用评级的资本结构
•现金回报:提供可持续的每股股息,每半年支付一次,随着时间的推移而增长,同时通过股票回购或资本偿还将多余的现金返还给股东
流动性
我们的主要流动资金来源包括现金及现金等价物、短期投资及可用信贷融资。此外,我们可能不时在债券和股票市场筹集额外资金。我们致力确保我们的主要流动资金来源将足以满足我们在任何时候的流动资金需求。
我们的流动资金需求受多项因素影响,其中部分因素基于业务的正常持续运营,其他因素则受全球经济的不确定性、我们业务的庞大性以及半导体行业的特定特点所影响。尽管我们的现金需求会根据该等因素的时间及程度而波动,但我们相信,经营产生的现金连同我们的其他流动资金来源足以满足我们的预期需求,包括我们的预期资本开支、研发开支及偿债。
我们将现金和现金等价物和短期投资投资于拥有投资级信用评级的金融机构、政府和政府相关机构的短期存款,以及投资于高评级中短期债务证券的货币市场和其他投资基金。我们的投资是主要以欧元计价,在一定程度上以美元、新台币和人民币计价。
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
存放在金融机构、政府和政府相关机构的存款 | 1,545.3 | | 2,131.7 | |
货币市场基金的投资 | 3,841.9 | | 2,928.3 | |
银行账户 | 662.2 | | 1,891.8 | |
现金和现金等价物 | 6,049.4 | | 6,951.8 | |
| | |
存放在金融机构、政府和政府相关机构的存款 | 1,302.2 | | 638.5 | |
短期投资 | 1,302.2 | | 638.5 | |
我们与多家银行保持着可用的承诺信贷安排,7亿欧元,在其中 不是2021年底和2020年底尚未偿还。该设施的到期日为2026年7月.我们进一步与中国一家银行维持本地未承诺信贷安排,确保本地流动资金及营运要求均能得到满足,同时亦考虑到现有有关公司间灵活融资的监管限制。
资本结构
我们管理资本结构的目标是通过维持确保流动性和支持稳健投资级信用评级的资本结构,保障我们满足资本提供者的能力。根据美国公认会计原则和欧盟国际财务报告准则,资本结构包括债务和股权组成部分。资本结构主要透过(其中包括)调整派付予股东的股息金额、股份回购或资本偿还金额以及债务水平的任何变动而改变。我们的资本结构每年都会与监事会就最新的长期财务计划和相关方案进行正式审查。本年度的检讨结果证实,我们维持现有的资本架构融资政策。
穆迪目前对我们的信用评级为A2(稳定)。该评级于2021年9月从A3升级。惠誉目前我们的信用评级为A-(稳定),即始终如一评级日期为2020年12月31日。
我们的未偿欧元债券本金总额为 45亿欧元,具有以下期限:
现金返还政策
ASML的目标是分配股息,这种股息将随着时间的推移而增长,每半年支付一次。管理委员会每年在得到监事会事先批准后,考虑到任何中期股息分配,向年度股东大会提交一份关于上一年度宣布的股息数额的建议。任何给定年度的股息建议将受到可分配利润、留存收益和现金的可用性的影响,并可能受到我们对未来潜在流动性需求的看法的影响,包括产能投资、营运资本需求、我们研发计划的资金以及可能不时出现的收购机会。除支付股息外,我们打算根据我们实际和预期的流动资金需求水平以及其他相关因素,定期通过股票回购或资本偿还向股东返还现金。
ASML打算宣布总分歧就…而结束2021的€5.50每股普通股。确认公司的中期股息€1.80 已缴入的每股普通股2021年11月,这导致了向大会提交末期股息建议 €3.70每股普通股。2021年的总股息是100%增加COM低于2020年每股普通股2.75欧元的总股息。
2021年7月21日,我们宣布了一项新的股票回购计划,将于2023年12月31日之前执行。作为该计划的一部分,ASML打算回购金额高达90亿欧元的股票,我们预计其中总计45万股将用于支付员工股票计划。ASML打算取消回购的剩余股份。新的计划取代了之前的60亿欧元的2020-2022年股票回购计划,根据新的股票回购计划,该计划尚未全部完成。
在2021年,我们回购了 14,358,838股(2020年:3,908,429股),总代价为85.603亿欧元(2020年:12.075亿欧元),其中6,601,699股是根据新计划购买的,代价为45.603亿欧元。
年度报告中的这一部分概述了我们税收政策的要点。欲了解更多信息和完整的税收政策文件,请访问www.asml.com。此外,请注意,在下面的文本中,‘Tax’和‘Tax’包括关税。
我们的主要原则是,我们的税务状况反映了我们的业务运营,即在我们的制造和研发活动的支持下,销售光刻系统和相关产品和服务。自公司成立以来,ASML的运营模式直截了当,我们位于荷兰维尔德霍温的园区是我们全球业务的核心。
下面描述的运营模式对于理解ASML的税务状况至关重要。
在ASML的全球员工中,55%位于荷兰,20%位于美国地区,24%位于亚洲,1%位于EMEA(不包括。荷兰)。在所有高级管理职位中,70%位于荷兰。这反映了ASML荷兰公司正在积极领导和控制集团的活动、业绩和风险。
在研发活动方面,我们72.5%的研发员工位于荷兰。其余部分主要受雇于我们在美国的法人实体,其余部分分散在其他地点。我们美国和其他外国研发机构的成本由荷兰的ASML承担,我们95%的专利由ASML荷兰拥有。在2000-2020年期间,ASML荷兰承担了大约167亿欧元的研发成本,平均占同期我们年收入的15%以上。
我们所有的光刻机都在Veldhoven组装,而相当大比例的部件由我们在荷兰、欧洲和美国的供应商生态系统供应。一些模块和计量系统是由我们在美国和台湾的工厂生产的。一般来说,一旦我们的新光刻机准备好,就会直接从荷兰运到我们的客户手中。
目前,我们的客户主要分布在台湾、韩国、中国和美国四个地区。我们在这些国家和地区的业务有助于我们的销售和客户服务工作。总体而言,我们的销售和客户服务活动的主要角色都设在维尔德霍温。
在我们开展活动的国家,对反洗钱活动的补偿公平地反映了符合当地法律和国际标准的运作模式。在可能的情况下,我们已经(或正在商定)与当地税务机关商定我们活动的薪酬水平。此外,我们还制定了监控各种税收的流程和控制措施,如海关、增值税(VAT)、企业所得税(CIT)和预扣税(WHT)。我们经常与高级管理层讨论我们的税务方法。在反兴奋剂机构内定期提供培训,以强调遵守法律和条例的重要性。
我们的税收原则
以下原则指导我们如何在我们开展业务的国家/地区申报和纳税:
1.我们按照税收法律法规的文字和精神行事。
2.我们在一个司法管辖区报告的应纳税所得额与该司法管辖区内商业活动的附加值相称。
3.ASML的利润分配方法是基于经济合作与发展组织发布的国际公认的标准,以及我们运营的当地司法管辖区的相关规章制度。
4.我们在相互尊重、透明和信任的基础上,寻求与我们所在司法管辖区的税务当局进行公开和建设性的对话,披露所有相关的事实和情况。我们不会采用旨在避税的税制,也不会人为地将利润转移到低税率司法管辖区。
5.除ASML业务目的外,我们不在避税天堂(根据欧盟委员会的“黑名单”定义)开展业务。
6.我们根据报告要求、美国公认会计原则和国际财务报告准则进行税务披露。
我们的税务策略
ASML的税收战略以我们的税收原则为基础,并与我们的业务战略和可持续发展目标密切相关。它是由管理委员会批准的,并与我们对ASML税务和海关事务的责任一致。
我们的重点是:
•我们在管理所有利益相关者方面的角色。从外部角度与税务机关和监管机构沟通,也要与投资者沟通。在内部,支持我们的业务管理风险,控制风险,同时保持其行政程序和工作方式的效率。我们以一种综合的方式与ASML内的其他专家合作。
•税收的未来,其中包括ESG(包括税收透明度)和税收技术的发展。
•合规与控制:这包括为适当的税务风险管理和报告目的开发、实施和监控流程和控制。此外,通过根据适用的税收法律和法规(包括及时缴纳应缴税款)。
•项目:我们的业务每年都在变化,我们运营的监管环境也在变化。我们致力于处理这些变化的项目,以确保实施的解决方案是合规和高效的。同样,我们不断努力简化,并审查现有业务模式的合规性。
•ASML税务和海关组织。在这个快速变化的世界里,拥有一个多样化的团队是很重要的,他们可以应对变化,而且不仅仅是优秀的税务和海关专家。沟通、数字和项目管理技能正变得越来越重要。我们努力按照ASML的价值观(协作、挑战和关怀)共同努力,相互发展。
税收治理
我们的全球税务部门负责日常的税务管理。它处于我们管理委员会的监督之下,管理委员会对ASML的税收方法负有最终责任。我们的综合全球税务部门分布在ASML运营的三个地区枢纽,并在跨境税务问题上保持一致。ASML的全球税务部门与ASML的全球业务有很好的联系。这有助于确保遵守适用的当地税收法律和法规。纳税申报义务通过中央纳税合规仪表板进行监测。控制是通过我们的SOX和内部控制框架实施和执行的。自动化被用于各个领域,以支持业务税务流程以及税务风险管理。
监督委员会(SB)的审计委员会审查我们的税收战略,并每年与我们的税务专业人员协商,讨论税收政策和税收法律法规对ASML的影响。
培训方案到位,以确保全球税务部门成员与全球税务格局的最新发展保持一致和最新情况。此外,税务部门成员定期为来自商业和其他财务部门的利益相关者提供税务认识课程。
我们的目标是明确我们税务状况的所有方面,并以透明的方式分享这些信息,与我们开展业务的国家的税务当局建立诚实、透明和信任的关系。ASML的税收方法旨在维持较低的税收风险偏好。例如,这反映在我们与我们主要司法管辖区的税务当局签订的双边预定价协议(BAPA)的数量上。
纳税贡献
ASML的技术正在推动我们的盈利能力。我们大约90%的收入在荷兰纳税,因为我们通过研发、设计和制造活动创造的大部分价值都在那里。其他活动的收入,如地区设备销售和客户支持活动,在这些活动发生的国家纳税--主要是台湾、韩国、中国和美国。
为了促进创新,我们利用了在我们开展业务的国家引入的激励措施--荷兰创新箱和美国的外国衍生无形收入监管是最重要的激励措施。这些优惠措施的使用对我们的综合有效税率产生了有利的影响。有关这些条例的财务影响的更多信息,请参阅合并财务报表附注21。
我们积极参与关于这些激励措施未来发展的讨论,因为这些激励措施极大地支持了我们能够开展的研发活动的水平,以及为我们开展业务的国家/地区的人们创造就业机会的能力。废除或更改这些或其他税务法规(例如,第1支柱和第2支柱发展)可能会对我们未来的综合有效税率产生影响。
我们的财务报表中提供了披露信息,包括在我们主要市场收取的税款/税款。已支付的所得税包括根据ASC 740归类为所得税的预扣税。我们以透明和准确的方式向税务机关提供逐个国家的纳税报告。下面我们包括了我们最重要的国家的关键数据(占总人数的97%)。
每个重要国家/地区的所得税概况
| | | | | | | | | | | | | | | | | |
(欧元,百万欧元) | 荷兰 | 我们 | 台湾 | 韩国-韩国 | 中国 |
对外销售净额共计 | 69 | | 1,635 | | 7,355 | | 6,256 | | 2,673 | |
内部销售净额合计 | 19,388 | | 2,213 | | 1,651 | | 571 | | 266 | |
所得税前收入 | 5,983 | | 297 | | 56 | | 183 | | 39 | |
所得税费用(实际)1 | 894 | | (54) | | 17 | | 60 | | 14 | |
已缴纳所得税2 | 818 | | 215 | | 93 | | 41 | | 24 | |
1.所得税费用(实际)涉及应计的当期和递延税项费用/福利总额
2.已缴所得税涉及2021年实际缴纳的所得税
监事会主席的致辞
Gerard Kleisterlee(监事会主席)
尊敬的利益相关者:
2021年,在微芯片需求强劲飙升的推动下,ASML表现出色,这是创纪录的一年,同样是在不利的情况下。我们仍然必须应对新冠肺炎和一些供应问题,但我们看到了惊人的增长,使2021年成为比2020年更好的一年,营业额、现金流和盈利能力都创下纪录。
令人印象深刻的技术进步
该公司的成功始于ASML在客户方面的成功。随着ASML的EUV 0.33 NA平台在大批量制造中的广泛采用,以及对下一代EUV 0.55 NA(High-NA)平台的承诺不断增加,我们看到了巨大的进步,在该平台上取得了良好的技术进步。在第四季度开始时,监事会技术委员会访问了德国的ZEISS,在那里正在进行第一个新系统的组装准备工作,我们对从事这一工作的团队的巨大成就印象深刻。
应对激增的需求
我们继续看到需求激增,不仅是对我们领先的EUV光刻系统,而且对成熟节点中半导体行业的主力DUV也是如此。为了满足我们整个产品组合的强劲需求,我们首先正在缩短制造周期,并与我们的供应链合作,提高我们整个产品组合的产出能力。2021年,我们看到我们的业务以及ASML的供应链出现了一些紧张,导致年底系统发货出现一些延误。然而,在ASML典型的‘让我们就这么做’的心态下,这些问题已经得到了最高优先的解决。
与客户保持一致
作为半导体生态系统中值得信赖的合作伙伴,ASML在与领先客户就EUV 0.33 NA和EUV 0.55 NA(High-NA)进行对话方面取得了很大进展。特别是在EUV中,对ASML来说,对其客户完全透明是很重要的,因为他们别无选择。ASML不只是销售设备,而是与客户合作,实现特定的晶圆产量-这需要与客户的目标完全一致,这可能会因他们经营工厂的方式而有所不同。ASML总是需要适应这一点,从客户的角度思考,并与其产品和服务完全一致,以满足他们的需求。
维护一个运转良好的全球半导体生态系统
ASML在一个变得越来越复杂的世界里运作。同样在2021年,随着芯片成为现代数字生活的核心,ASML一直是世界超级大国之间正在进行的贸易谈判的一个话题。ASML在这方面采取了中立的立场。这里的起点一直是,也将是ASML的目标是以一种允许公司在所有适用的规则和法规范围内继续为所有客户服务的方式与客户合作。我们坚信,在合作、公平竞争和信任的基础上,避免碎片化并维持一个运转良好的全球生态系统,符合半导体和电子行业所有利益攸关方的利益。
日益关注ESG的可持续性
ASML非常认真地对待当今对ESG(环境、社会和治理)可持续性的日益关注。我们为我们所做和所能控制的事情负责。在社会和治理方面-我们正在照顾我们的员工和我们所在的社区,我们得到了很好的治理。环境方面主要是关于应对气候变化,
这是一个全球性的挑战,需要包括我们在内的每个人都采取紧急行动。我们必须照顾到我们自己的环境足迹、我们供应商的足迹以及我们提供的产品和服务的潜在负面影响。我们要求我们的供应商向我们展示他们的环境项目,并在重复利用等领域与他们合作开展联合项目。在我们方面,我们有责任最大限度地减少我们光刻解决方案的能源消耗、温室气体排放和材料使用,我们已经为这些解决方案制定了计划。
强劲的增长伴随着挑战
作为一个快速发展的组织,ASML对人和领导力发展的关注是其成功的关键。每一位ASML新员工都应该感到受欢迎,迅速成为这个动态环境的一部分,并能够贡献和发展自己的技能。这需要一套组织良好的过程和控制,以及强大的关怀文化。像我们在2021年所做的那样,雇佣这么多人是有责任培养他们的人才的。此外,我们需要为未来做好准备和适应。凭借广泛的先进光刻解决方案和服务,以及强劲增长的装机群,我们必须谨慎地平衡我们对成熟业务的成本、质量和产量的关注与我们在技术前沿不断创新的动力。
对2022年前景充满信心
监事会自豪地认可ASML员工做出的巨大努力--归根结底,供应链和创新生态系统中的员工和他们的合作伙伴使这一切都发生在我们仍然处于的具有挑战性的环境中。ASML在管理自己度过新冠肺炎危机的过程中做了令人惊叹的工作,同时继续提供优秀、先进和成熟的产品和服务。
我们满怀信心地期待着,我们坚信,ASML正在走上一条清晰的道路,继续使突破性技术能够解决人类面临的一些最严峻的挑战。该公司还制定了正确的战略,以可持续的方式支持全球电子生态系统,并为所有利益相关者提供价值。
杰拉德·克莱斯特利
监事会主席
监事会监督管理委员会执行其管理任务并为其制定方向,并向其提供咨询。监事会专注于长期和可持续的价值创造,目标是确保管理委员会的战略确保其作为半导体行业整体光刻解决方案供应商的领先地位。作为监事会,我们坚持适当的制衡制度,提供监督,评估业绩,并在需要或要求时提供建议。通过良好的治理,我们帮助确保ASML的行动符合公司及其利益相关者的最佳利益。在这份监事会报告中,我们报告了我们在2021年的活动。
2021年期间,全球半导体行业整体增长17.3%,而新冠肺炎疫情仍有影响。ASML继续发展,并欢迎新的同事,同时保障健康、安全和业务连续性。不断增长的客户需求和公司的增长在2021年带来了额外的挑战。我们很高兴看到ASML能够实现惊人的增长,使2021年成为比2020年更好的一年,营业额、现金流和盈利能力都达到了创纪录的水平。
我们在中国的活动2021
在履行2021年的任务时,监事会议程围绕战略及其执行、财务和运营业绩、业务发展、风险管理、人员和组织展开。根据年度战略审查中商定的反兴奋剂机构的战略优先事项,以深入探讨的方式广泛讨论了几个专题,以便进行有重点和深入的审查。
战略和长期价值创造
在2021年期间,监事会花了大量时间讨论战略议题。我们对ASML的公司战略、长期财务计划以及EUV、DUV和Applications的长期计划进行了定期的年度审查。监事会全力支持ASML战略,该战略继续围绕加强客户信任、整体光刻和应用、DUV竞争力、用于制造的EUV 0.33 NA和EUV 0.55 NA(High-NA)插入的五大支柱。随着对ASML产品的强劲需求,再加上该公司专注于执行其战略重点,监事会对ASML的长期增长机会和继续向其利益相关者交付价值充满信心。
作为年度战略审查的一部分,我们举办了专门的研讨会,重点关注长期半导体市场发展和外部全球力量,包括地缘政治和ESG可持续性。其他研讨会涉及与战略执行相关的挑战,重点关注端到端供应链以及安装基础和服务战略。这些研讨会使监事会和管理委员会能够就关键战略问题进行积极和有重点的讨论,作为监事会,我们高度重视这种为战略决策过程做出贡献的方式。
除了年度战略审查外,全年还通过深入研究的方式处理战略专题,使监事会能够有重点地进行深入审查。
深潜:与卡尔·蔡司SMT的战略合作
关于与卡尔·蔡司SMT的战略合作,管理委员会和监事会讨论了新的总体框架协议,涵盖了两家公司关系的所有领域。在审查中,我们深入研究了该协定的三大支柱:行为和文化、治理和商业,以及知识产权方面的新安排。我们认为新的框架协议是向前迈出的一步,特别是在两家公司之间的关系和合作方面,以及在简化业务执行方面的机会。
财务和运营业绩
我们审阅了年度和中期财务报表,包括非财务信息、季度业绩和随附的新闻稿,以及年终美国公认会计原则和欧盟国际财务报告准则审计结果。
作为财务最新情况的一部分,监事会在审计委员会的协助下审查了反洗钱组织的筹资和资本返还政策。监事会批准了管理委员会关于在2021年支付末期和中期股息的建议。此外,监事会批准了2021-2023年的股票回购计划,并每季度与管理委员会讨论该计划的执行情况。
召开了一次特别监事会会议,讨论2021年投资者日前后的信息,在此期间,投资者和其他关键利益攸关方了解了我们的长期战略和财务模式的最新情况。我们相信,ASML处于有利地位,能够继续以可持续的方式提供长期增长和利益相关者价值。
业务发展
2021年,在电子行业的全球大趋势以及各国推动技术主权的推动下,先进和成熟节点的晶圆需求都有所增加。这种激增的需求随之而来的是我们自身运营和供应链中的挑战。监事会密切监测这方面的事态发展,并确保管理层以最优先的态度应对这些挑战。
作为半导体行业的技术领导者,技术进步是ASML的首要任务之一。监事会高兴地看到,ASML的EUV 0.33 NA扫描仪平台在大批量制造中得到了越来越广泛的采用,并越来越多地致力于下一代EUV 0.55 NA(High-NA)平台,该项目的团队在该平台上取得了巨大进展。
深潜:应用和整体光刻策略
对其应用和整体光刻策略进行了深入的综述。我们研究了关键市场领域的技术变革带来的增长机会、技术路线图以及它如何支持ASML的业务。对增长的关键驱动因素进行了详细研究,包括多光束检测、光学和电子束计量以及计算光刻和扫描仪应用软件等应用。监事会对应用程序和整体光刻战略感到满意,并相信ASML处于有利地位,可以通过执行其路线图来创造价值。
人员和组织
鉴于反洗钱法近年来的显著增长,人和组织专题仍然是2021年监事会的重点领域,因为我们认为,这些对反洗钱法未来的成功至关重要。有几次,我们从人力资源和组织(HR&O)得到了最新情况。讨论的主题包括ASML领导框架和年度员工敬业度调查结果。此外,监事会在遴选和提名委员会的协助下,就ASML的人才管理和人员发展方案以及管理委员会和高级管理层的继任规划进行了广泛的讨论并提供了咨询意见。监事会很高兴看到这一努力被投入到新员工的入职培训中,使他们能够尽快发展并做出贡献。
此外,重要的是业务流程要适合增长。我们监督了各种转型项目,比如一个。一个是ASML的转型计划,在其升级的主干信息系统的支持下,致力于在我们的客户产品的生命周期中确保配置完整性,同时增强业务流程和保持灵活性。我们特别关注该计划的子路线图,在这些路线图中,进展不如计划,我们关注挑战和缓解行动。我们将继续密切关注事态的发展。
深度探索:ESG可持续发展战略
我们与管理委员会讨论了加强对ESG可持续性的关注,并审查了ASML基于环境、社会和治理领域的九个主题的新ESG可持续性战略。我们很高兴ASML内部对ESG可持续性的进一步关注,其中包括我们产品的能效、重复使用、多样性和包容性以及负责任的供应链等主题。我们打算将管理委员会的薪酬目标与新的ESG可持续性战略联系起来,并增加ESG业绩衡量的权重,作为修订管理委员会薪酬政策的一部分,我们打算在2022年提交大会。
风险管理
由于风险管理是监事会职责的一个关键要素,我们在年内定期收到风险管理的最新情况。会议注意到风险形势和这一领域的事态发展、风险偏好以及管理委员会为减轻重大风险而采取的措施。2021年特别关注的领域是所产生的挑战
由于整个产品组合对ASML产品的需求强劲增长,这影响了ASML的风险格局中的多种风险。另一个反复引起注意的问题是与本组织快速发展有关的风险。年内,在深潜会议中对特定的风险领域进行了审查。2021年的主题包括信息技术和信息技术安全风险、知识产权风险和全球贸易形势下的政治风险。有关ASML风险管理的更多信息,请阅读:我们在2021年的表现-治理-我们如何管理风险。
与利益相关者的关系
监事会定期讨论ASML与其股东的关系,以及全年与股东就ASML的战略和业绩、治理和ESG等主题进行接触的监事会成员。薪酬委员会与ASML的各种股东和其他利益攸关方就管理委员会的薪酬问题举行了接洽会议。有关这一主题的更多信息可在薪酬报告中找到。
2021年,监事会代表团与劳资委员会举行了两次正式会议。在第一次会议上,由于在2020年12月举行的劳资委员会选举后,劳资委员会的组成发生了重大变化,双方花了时间相互了解。我们就ASML的战略和优先事项、ASML的表现和挑战,特别是与ASML业务的增长和增加的复杂性有关的问题交换了意见。其他讨论议题包括气候变化、亚非裔美国人的领导力和新冠肺炎流行病,特别是与在家工作有关的挑战以及对亚非裔美国人的创新和身心健康的潜在影响。会上讨论了监督委员会和管理委员会的组成,特别是在2021年年度大会上生效的变化。劳资委员会和监事会还讨论了管理委员会和监事会的薪酬政策。
2021年10月,技术委员会访问了我们的主要供应商之一ZEISS,委员会在那里会见了ZEISS管理层,讨论了ASML和ZEISS之间的合作,特别是考虑到2021年达成的新框架协议。
其他主题
2021年监事会会议期间的其他相关议题包括:
•IT和安全:我们回顾了在2020年第4季度更新的IT战略,并围绕将IT作为业务运营的目标、业务相关性、可能的IT艺术和员工敬业度进行了研究。特别注意到安全和业务连续性方面的风险状况有所增加,以及综合信息技术战略如何将业务相关性、价值交付和风险管理结合在一起。
•剥离柏林Glas的非半导体业务:于2021年第二季度将技术玻璃业务出售给Glas Trösch Group,并于2021年第四季度将医疗应用和SwissOptic业务出售给Jenoptik。
•遵守规则和条例:监督委员会监测规则和条例的遵守情况,包括《荷兰公司治理守则》,并随时了解关键的法律事项。
•监事会的组成、概况和运作:我们广泛讨论了我们自己的组成、概况和运作、其各委员会的组成和运作以及管理委员会的组成和运作。更多信息可在推选和提名委员会报告中找到。
•管理委员会业绩:我们还监测管理委员会的业绩,决定管理委员会的薪酬目标和目标业绩。更多信息可以在薪酬委员会的报告中找到。
国际年讨论的专题概览见下表。
| | | | | | | | | | | |
Q1 | •2020年度业绩及年度报告 •2020年度外部审计报告 •2020年末期股息 •管理委员会和监事会薪酬委员会, •风险管理,包括深入研究:知识产权风险 •市场与客户深入挖掘:逻辑部分 •结果监事会评估 •法律更新 •监事会组成 •法律问题报告 •年度股东大会议程 | Q2 | •业务优先事项更新 •战略深入研究:地缘政治 •战略深度探索:Zeiss •年度股东大会更新 |
Q3 | •2021年法定中期报告 •股票回购计划 •业务优先事项更新 •人力资源与O更新 •风险管理:更新风险格局和深入研究:IT战略和IT安全 •策略深入研究:应用和整体石版印刷,包括。人机界面经验教训 •战略深入研究:ESG •SPAA董事会成员2022年1月1日 •资本市场日宣传信息 | Q4 | •2021年中期股息建议 •2021年和2022年业务优先事项更新 •更新地缘政治动态 •半导体市场和全球力量/ESG(包括分组讨论) •工厂之旅 •从技术趋势到ASML产品战略 •长期端到端供应链设置/客户群管理和服务(包括分组会议) •2020-2030年间的增长、成本和资本配置 •供应链短缺 •产出能力挑战 •物理安全 •中长期财务计划和2022年年度计划 •融资政策包括资本返还与股利政策 •人力资源与运营更新:领导力框架 •一个程序
|
会议和出席情况
监事会按照年度会议时间表每年至少举行四次会议,每当主席、监事会一名或多名成员或管理委员会要求开会时。
2021年,监事会召开了6次会议。在这些会议中,四次是虚拟举行的,两次是在维尔德霍温的ASML总部举行的。除这些会议外,监事会和(或)管理委员会成员之间还举行了几次非正式会议和电话。
监事会会议和监事会委员会会议分几天举行,确保有时间进行审查和讨论。在每次会议上,监事会成员之间讨论以下目标和结果
会议以及监事会和管理委员会的职能和组成等议题。每次会议还讨论了监事会不同委员会的报告。
虚拟会议和面对面会议
与2020年一样,2021年监事会和监事会委员会的大部分会议实际上都是由于新冠肺炎疫情而召开的。为了应对虚拟会议带来的挑战,我们继续应用各种措施:我们计划在更多天内缩短会议时间,我们以小组形式举行分组会议以优化互动,除了提供书面会议文件外,我们还利用视频作为会议准备的一种手段。监事会对这些新的解决方案非常积极,并在2021年亲自举行的会议期间继续使用这些解决方案。
如下表所示,监事会会议和四个监事会委员会的会议出席情况良好。
除监事会成员外,还邀请管理委员会成员参加监事会会议。管理委员会所有成员都出席了2021年的监事会会议。高级管理层成员定期被邀请就其专长领域内的专题提供最新情况。这使监事会有机会结识ASML的各种管理人员,监事会认为这些管理人员对其人才管理和继任规划活动非常有用。
监事会会议出席率概述
| | | | | | | | | | | | | | | | | |
名字 | 监事会 | 审计委员会 | 薪酬委员会 | 推选及提名委员会 | 技术委员会 |
Gerard Kleisterlee(主席) | 6/6 | 6/6 | 不适用 | 9/9 | 5/5 |
安妮特·阿里斯 | 6/6 | 不适用 | 6/6 | 9/9 | 5/5 |
比尔吉特CONIX1 | 4/4 | 3/3 | 不适用 | 不适用 | 不适用 |
马克·杜尔坎 | 5/6 | 不适用 | 不适用 | 4/4 | 5/5 |
沃伦·伊斯特 | 6/6 | 6/6 | 不适用 | 不适用 | 不适用 |
特里·凯利 | 6/6 | 不适用 | 9/9 | 4/4 | 不适用 |
罗尔夫-迪特尔-施瓦布 | 6/6 | 6/6 | 9/9 | 不适用 | 不适用 |
汉斯·斯托克 | 6/6 | 不适用 | 9/9 | 不适用 | 5/5 |
道格拉斯·格罗斯2 | 2/2 | 不适用 | 不适用 | 5/5 | 3/3 |
卡拉·史密茨--努斯特林3 | 2/2 | 3/3 | 不适用 | 不适用 | 不适用 |
1.在2021年4月29日的年度股东大会上被任命为审计委员会成员。
2.于2021年4月29日在年度股东大会上卸任。
3.于2021年4月29日在年度股东大会上卸任。
作文
监事会决定履行其职能所需的监事会成员人数,最低人数为三人。监事会目前由八名成员组成。监事会非常重视其组成、独立性和多样性,并努力满足所有相关准则和要求。为了确保适当和平衡的组成,监事会持续花大量时间讨论其概况、组成和轮换时间表。
独立
为了妥善履行其职责,监事会认为,其成员必须能够独立于彼此、管理委员会和其他利益攸关方,严格地采取行动。监事会及其个别成员的独立性每年进行评估。监事会的所有现任成员均按照荷兰公司治理守则的定义完全独立,并已完成年度问卷调查,以解决相关独立性要求。
多样性
ASML监事会目前的组成在性别、国籍、知识、经验和背景方面是多样化的,在国际商业的金融、经济、技术、社会和法律方面具有适当水平的经验。有关多元化的更多信息,请参阅公司治理-其他董事会相关事项一节。
监事会技能矩阵
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| Gerard Kleisterlee(主席) | 安妮特·阿里斯 | 比尔吉特CONIX | 马克·杜尔坎 | 沃伦·伊斯特 | 特里·凯利 | 罗尔夫-迪特尔-施瓦布 | 汉斯·斯托克 |
一般技能 |
(上市)国际公司执行董事会成员 | l | | l | l | l | l | l | l |
财务/治理 | l | l | l | | l | | l | |
报酬 | l | l | | l | l | l | l | l |
人力资源/员工关系 | l | l | l | l | l | l | l | l |
IT/数字/网络 | l | l | l | l | l | | l | l |
ASML特定技能 |
半导体生态系统 | l | l | | l | l | | | l |
对半导体技术的深刻理解 | l | l | | l | | | | l |
高科技制造/集成供应链管理 | l | l | | l | | l | | l |
在亚洲地区业务 | l | | | l | l | l | l | l |
2021年的组成变化
根据2021年的年度股东大会,道格拉斯·格罗斯和卡拉·史密茨-努斯特林的任期届满。格罗斯和斯米茨-努斯特林在监事会任职八年后,将在2021年的年度股东大会上辞去监事会职务。正如在2020年年度股东大会上宣布的那样,监事会成员的人数暂时从8人增加到2020年的9人。格罗斯先生和斯米茨-努斯特林女士退休后,监事会决定只提名一名候选人--比吉特·康尼克斯女士--参加2021年年度股东大会。ASML荷兰公司劳资委员会决定不使用其对2021年年度大会产生的空缺的建议权,大会决定任命CONIX女士,任期四年,自2021年年度大会起生效。因此,监事会在2021年的年度股东大会上由8名成员组成。
2022年组成变化
根据2022年的年度股东大会,特里·凯利和汉斯·斯托克的任期将到期。
斯托克已通知监事会,他无法获得连任,他将在本届任期结束后于2022年的年度股东大会上退休。监事会感谢斯托克先生在过去八年中做出的宝贵贡献,在此期间,监事会从他的知识和经验中受益匪浅。
凯利已经通知监事会,她可以在2022年的年度股东大会上连任。由于凯利女士最初的任命是根据劳资关系委员会增强的推荐权而作出的,因此,就凯莉女士因轮值退休而出现的空缺,劳资委员会亦有更大的推荐权。
2022年年度股东大会的议程和解释性说明将包含有关提名(重新)任命监事会成员的进一步信息.
关于监事会成员的更多信息和背景,包括国籍、性别和年龄的详细信息,请参阅我们2021年业绩-治理-公司治理-监事会的监事会成员信息,以及本监事会报告中包含的监事会技能矩阵。
入职和培训
我们为新任命的监事会成员制定了全面的入职计划,旨在确保新成员对我们的业务和战略以及我们面临的主要风险有很好的了解。入职计划包括与其他监事会和管理委员会成员的会议、技术教程以及我们的业务线、部门和公司部门的详细演示。现场参观和工厂参观也是入职计划的一部分。在加入监事会时,CONIX女士参加了一个入职课程,该课程部分是虚拟的,部分是亲自参加的。
为了确保永久性教育,监事会定期在全体会议和监事会委员会会议上就各种主题进行深入探讨。在2021年期间,就各种主题举行了战略和风险深度潜水,请参阅本监事会报告中的我们的活动2021部分。此外,外部演讲者或顾问出席了各种委员会会议,就技术发展和技术前景等主题提供由外而内的意见。监事会还进行了实地考察。我们参观了位于ASML总部的EUV工厂,并了解了EUV 0.33 NA和EUV 0.55 NA(High-NA)计划的最新情况。我们看到了第一个高NA系统的组装准备工作,并对所取得的成就印象深刻。技术委员会访问了ZEISS,其中包括ZEISS的High-NA计划的进展情况。最后,一个vi组织了对ASML在威尔顿和圣地亚哥的生产设施的实际参观.
评估
监事会非常重视结构性和持续的评价进程,认为这是确保不断改进我们的工作方式的一种手段。每年,监事会在遴选和提名委员会的协助下,评价监事会及其各委员会的组成、权限和运作情况、监事会与管理委员会、其各委员会、其个人成员、监事会和各委员会主席之间的关系、以及管理委员会及其个人成员的组成和运作情况,以及对监事会和管理委员会成员的教育和培训需求。
原则上,对监事会的评价每三年由一名外部顾问进行一次;在其他两年,对监事会的评价是通过使用书面问卷进行自我评估,然后由主席和监事会成员个人进行一对一的会议。
2021年对监事会及其委员会的评价是通过遴选和提名委员会编写的网上调查进行的。监事会主席还与监事会个别成员举行了会议。评价围绕以下主题进行:组成、利益相关者监督、战略监督、风险管理和继任规划、管理和会议重点以及改进的优先事项。《每日邮报》的向上评价管理委员会和外聘审计员也是年度评估的一部分。
监事会的评价结果在2022年初进行了讨论。结论是,监事会及其委员会继续运作良好。进一步改进监事会职能的建议包括进一步优化会议议程,以确保经常性项目和战略专题以及与业务、人员和组织有关的专题之间的适当平衡。其他建议涉及在会议期间的发言和讨论之间取得平衡,以及在会议之外增加与管理层和本组织的接触。
管理委员会还在2021年进行了一次自我评价,重点是管理委员会的集体作用、责任和职能,以及管理委员会成员个人的职能。这一自我评价是在管理委员会专门讨论这一问题的若干场外会议上进行的。作为自我评价的一部分,完成了一项调查,并与管理委员会个别成员进行了面谈。讨论的主题包括管理委员会的战略重点、利益相关者参与、人员和组织、董事会动态和董事会组织。同样在2022年,还将举行一次管理委员会特别会议,继续讨论所提出的意见并采取后续行动。自我评价的总体结论是,反洗钱法有一个运作良好的管理委员会。还与监事会讨论了自我评估及其遴选和提名委员会审议阶段。
监事会的四个委员会负责准备董事会全体成员的决策。在全体监事会会议上,各委员会的主席报告委员会会议上讨论的事项。此外,所有监事会成员都可以获得委员会会议的会议文件和会议纪要,使全体监事会能够做出适当的决定。
审计委员会
审核委员会协助监事会监督财务报告的完整性和质量以及内部风险管理和内部监控系统的有效性。
| | | | | |
成员 | 主要职责 |
•罗尔夫—迪特尔·施瓦布 (柴RMAN) •比尔吉特CONIX •沃伦·伊斯特 | •监督iASML财务报表和相关非财务披露的完整性和质量,并提交确保此类完整性的提案; •监督a会计和财务报告流程以及财务报表的审计; •监督e我们的内部风险管理和控制系统的有效性,包括对相关立法和法规的遵守情况以及行为准则的影响; •监督i我们的披露控制和程序系统以及财务报告内部控制系统的完整性和有效性; •监督外聘审计员的资格、独立性、业绩并确定其报酬; •监督内部审计的运作。 |
审核委员会成员均为监事会的独立成员。
监事会根据《萨班斯-奥克斯利法案》第407节和荷兰法律规则,考虑到他们广泛的财务背景和经验,决定Schwalb先生和Conix女士都有资格成为审计委员会的财务专家。 |
审计委员会获得所有相关信息,以便能够充分和有效地监督财务信息的编制和披露。这包括能够判断未来6至12个月前景和预算的(半导体)市场的现状和发展、欧盟国际财务报告准则和美国公认会计准则的应用、会计政策的选择以及内部和外部审计师的工作。
2021年审计委员会会议
审计委员会每年至少召开四次会议,并总是在季度、半年和年度财务业绩公布之前召开会议。2021年,审计委员会召开了6次会议。
| | | | | | | | | | | |
经常性议程专题(季刊) | 出席率 |
•财务最新情况和筹资 •回顾季度财务业绩和新闻稿 •计费更新 •内部控制更新 •观察外聘审计员 •风险和内部审计更新 •披露委员会报告 •法律事项报告 •道德与合规 | 除审计委员会成员外,监事会主席尽可能出席审计委员会会议。外聘审计员和内部审计员有出席审计委员会会议的长期邀请,并出席了2021年审计委员会的所有会议。首席执行官、首席财务官、财务执行副总裁、公司总会计师以及风险和业务保证副总裁应邀参加会议。 |
以下概述提供了审计委员会2021年会议期间讨论的一些专题,以及反复出现的议程专题。
| | | | | | | | | | | |
Q1 | •2020年年度报告和财务报表美国GAAP和欧盟-IFRS •会计深潜:资产负债表审查 •2020年外部审计报告 •年度报告程序 •资本回报:2020年末期股息和股票回购计划 •欺诈-风险评估 •2020年外部审计员评估结果 •结果自我评估审计委员会 •年度计划风险和内部审计
| Q2 | •批准2021年外部审计计划 •报告2020年管理委员会和监事会 |
Q3 | •2021年法定中期报告 •外部审计轮换流程 •合规深入研究:出口管制 •分享回购计划2021-2023 •金融和IT转型计划 | Q4 | •2021年中期股息 •会计深入研究:Zeiss框架协议 •2021年年度报告流程 •长期财务计划 •2022年年度计划 •合规深入研究:财务合规和合规韩国 •年度税务更新 •外部审计最新情况 •审查程序规则审计委员会 •外聘审计员评价程序
|
金融类股
2021年,审计委员会除其他事项外,将重点放在财务报告上,尤其是审查反洗钱组织的年度和中期报告,包括年度和中期财务报表以及非财务信息。审计委员会还密切监测进展情况,并讨论了美国公认会计准则和欧盟国际财务报告准则年终审计的结果。在出版之前,审查了季度业绩和随附的新闻稿。
公司总会计师每季度向审计委员会提供会计最新情况,重点介绍与本季度相关的主要会计事项。审计委员会在这方面经常把重点放在收入确认上,因为这是一个复杂的会计问题,外聘审计员也认为这是一项重要的审计事项。审计委员会季度程序的其他重要内容是讨论外聘审计员对会计事项的意见,以及披露委员会关于季度报告的准确性和完整性的报告
披露。在这一年中,深入讨论了具体的会计主题,例如,阿斯马林和泽伊斯于2021年9月21日签订的新框架协议的会计方面。在这次审查中,审计委员会注意到管理层和外聘审计员对会计处理的评估,并同意这些结论。还进行了年度深入的资产负债表审查。
会议广泛讨论了ASML的业务和财务短期和长期业绩,考察了各种业绩情景及其对ASML的结果、现金产生以及融资和资本返还政策的影响。2021年的特别重点是客户需求的激增、ASML为满足这一需求而交付的能力以及对财务数字的潜在影响。
审计委员会审查并向监事会提供了关于长期财务计划、反洗钱法筹资和反洗钱法资本返还政策的咨询意见。具体讨论了监事会根据审计委员会的建议核准的2020财政年度的拟议末期股息支付和2021财政年度的中期股息。审计委员会随时了解2020-2022年股票回购计划的最新进展,该计划于2021年7月被一个新计划取代。审计委员会还广泛讨论了进入新的2021-2023年股票回购计划,从而考虑到ASML的现金状况和自由现金流,并就管理委员会的建议向监事会提供了积极的建议。
风险管理及内部监控
在整个2021年,审计委员会密切监测风险管理和风险管理进程,包括根据季度最新进展情况及时采取高优先行动的后续行动。审计委员会监督年度内部控制程序。重点是确定范围、重要性水平、更新内部控制框架、设计和有效性测试,以及管理层对ASML对财务报告和披露的内部控制的评估。还与审计委员会讨论了内部审计员和外聘审计员就内部控制的设计和有效性提出的意见。我们对ASML的内部控制框架在2021年生效的结论感到高兴。
与需求增加相关的新风险
2021年,考虑到ASML对风险格局中几个风险类别的潜在影响,我们对ASML为满足客户需求而增长和提升而产生的新兴风险进行了深入审查。我们详细研究了受影响的风险和管理层确定的缓解措施。我们特别关注流程有效性和效率风险,重点放在支持流程上,不仅考虑到与显著增长相关的挑战,还考虑到ASML产品、IT和流程环境的不同业务模式。
道德与合规
我们认为,以诚信的最高标准行事,对我们为利益相关者创造价值和ASML的长期成功至关重要。审计委员会收到关于道德操守方案的季度报告,包括道德操守和道德操守培训战略领域的趋势和风险。审计委员会还参与了ASML直言不讳和不报复政策的修订。在2021年期间,我们还讨论了ASML的合规计划,并对具体的合规主题进行了详细的审查,如出口管制、金融合规和韩国的国家合规审查。此外,还提供了关于欺诈和欺诈风险管理的年度最新情况。
内部审计
审计委员会审查了年度内部审计计划,包括2021年初的审计范围。年内,审计委员会每季度向审计委员会通报内部审计活动的最新进展情况,并审查审计结果以及行动计划的后续行动情况。审计委员会还讨论了内部管理函件,并监测了管理委员会对内部管理函件所提建议的后续行动。
外部审计
审计委员会审查了2021年外部审计计划,包括范围、重要性水平和费用。它监测外部审计活动的进展情况,包括审查在季度程序中提出的意见和在年终进行的审计。审计委员会监督管理委员会对外聘审计员在其定期更新的内部控制中报告的控制缺陷采取的后续行动。审计委员会确认,关于2021年财政年度的来文没有需要在本报告中提及的重要项目。
审计委员会在2021年底评价了外聘审计员的业绩,包括对其独立性进行了审查。评价结果导致审计委员会建议监事会向2022年年度股东大会提交一项建议,任命毕马威为2023年报告年度的外聘审计员。审计委员会决定独立完成这项工作。
由于需要轮换审计伙伴,自2021年报告年度起,一名新的牵头审计伙伴开始负责ASML审计。预期到这一变化,已在过渡进程中投入了大量努力,审计委员会对过渡顺利进行感到高兴。
2021年9月,审计委员会启动了强制外聘审计公司轮换的遴选程序。虽然目前的外聘审计员只需要在2025年后轮换,但审计委员会认为谨慎的做法是
鉴于有资格选择的候选事务所数量有限,并考虑到潜在候选审计事务所提供的非审计服务,尽早开始遴选程序。成立了一个遴选委员会,由审计委员会成员、首席财务官、执行副总裁、财务总监和公司总会计师组成。推选委员会在2021年召开了三次会议。在2022年年度股东大会上,我们打算提交一份提案,为2025报告年度。该提案将载有关于后续进程的更详细信息。
其他话题
审计委员会在2021年讨论的其他议题包括ASML的税收政策和规划、财务和IT转型计划以及季度法律事项概述。
审计委员会还对其议事规则进行了年度审查和更新。
在大部分审计委员会会议后,内部和外部审计师都会在管理层不在场的情况下与审计委员会开会,讨论他们对审计委员会应注意的事项的看法。这可能包括它们与审计委员会的关系、与管理委员会的关系以及任何其他被认为需要讨论的事项。审计委员会还定期与首席财务官举行一对一会议。
薪酬委员会
薪酬委员会向监事会提供咨询意见,并准备监事会关于管理委员会和监事会薪酬的决议。
| | | | | |
成员 | 主要职责 |
•特里·凯利(主席); •安妮特·阿里斯; •罗尔夫-迪特尔·施瓦布; •汉斯·斯托克。
| •O监督董事会薪酬政策的制定和实施,编制监事会薪酬政策; •审查并向监事会提出与管理委员会薪酬可变部分有关的公司目标和目标; •对实现这些目标以及超过这些目标的可变薪酬可能产生的财务后果进行情景分析,然后将这些公司目标和目标提交监事会批准; •根据这些目标和宗旨评价管理委员会成员的业绩,并在此基础上向监事会建议管理委员会成员的适当报酬水平. |
根据纳斯达克上市规则,每位成员均为我们监事会的独立非执行成员。凯利女士既不是我们管理委员会的前成员,也不是另一家公司的管理委员会成员。目前,薪酬委员会的成员均为另一家荷兰上市公司的管理委员会成员。 |
薪酬委员会2021年会议
薪酬委员会每年至少召开两次会议,并在认为必要时更频繁地开会。2021年,薪酬委员会召开了9次会议。在这9次会议中,有4次是定期会议,5次是特别会议,安排的时间与管理委员会薪酬政策的基本审查有关。
| | | | | | | | | | | |
经常性议程主题 | 出席率 |
•管理委员会的薪酬 •监事会的薪酬 •短期和长期奖励措施目标执行情况的最新情况 | 除了薪酬委员会成员外,薪酬委员会通常还邀请CEO、执行副总裁HR&O、薪酬和福利主管,在某些情况下还邀请CFO出席(部分)会议。如有需要,薪酬委员会的外部顾问亦会获邀出席薪酬委员会的会议。 |
以下概述详细介绍了薪酬委员会2021年会议期间讨论的议题。
| | | | | | | | | | | |
Q1 | •短期激励计划:2020年绩效、2020年薪酬和2021年目标 •长期激励计划:2018-2020年股份归属实绩,2021-2023年有条件授予和目标实绩 •《2020年薪酬报告》 •自我评价薪酬委员会 •管理委员会薪酬政策检讨 •遴选外部薪酬顾问 | Q2 | •管理委员会薪酬政策检讨
|
Q3 | •管理委员会薪酬政策审查,包括劳动力市场参考小组 •反馈BOM对新的薪酬政策的指导 •股份所有权准则
| Q4 | •管理委员会薪酬政策检讨 •方法和规划利益相关者外展 •更新短期激励计划和长期激励计划 •《2021年薪酬报告草案》 •合规管理委员会成员拥有股权准则 •股票规划年度大会期间2022-2023 •就商定的薪酬程序聘请外聘审计员 |
薪酬管理委员会
2021年,薪酬委员会建议对管理委员会和监事会的薪酬政策进行某些调整。调整后的薪酬政策于2021年4月29日提交大会并获得通过。
从2021年第二季度开始,薪酬委员会对管理委员会的薪酬政策进行了根本审查。这项审查原计划在2020年进行,但由于新冠肺炎疫情而推迟。关于管理委员会薪酬政策的根本审查的更多信息,请参阅薪酬报告,这也是本2021年年度报告的一部分,以及我们打算在2022年3月公布的2022年年度股东大会的召集文件。
薪酬委员会就管理委员会的总薪酬方案以及由短期现金激励和长期股票激励组成的可变薪酬向监事会提出了建议。薪酬委员会向监事会提出了管理委员会浮动薪酬的2021年目标。年内,薪酬委员会密切监察管理委员会的表现。它就2021年目标的实现情况和管理委员会成员的相关薪酬水平向监事会提出建议。
在建议和评估管理委员会与管理委员会成员浮动薪酬的公司目标和目的相关的业绩时,薪酬委员会与审计委员会和技术委员会密切合作。
薪酬委员会已注意到管理委员会个别成员对彼等薪酬金额及结构的意见。
薪酬委员会审查了管理委员会成员的持股情况,以评估管理委员会薪酬政策中所载股份所有权准则的遵守情况。
薪酬委员会还编写了薪酬报告,其中详细说明了监事会和管理委员会成员的薪酬。
提高薪酬透明度
在2021年股东周年大会上,我们收到了股东和股东利益组织对薪酬报告的宝贵反馈,特别是如何进一步提高薪酬的透明度。我们已经考虑到了这一反馈,因此,我们在2021年薪酬报告中实施了几项修改。例如,我们现在包括对可变薪酬的目标和实际业绩水平的事后披露(如果这不违反ASML的战略和/或商业利益)。请阅读本年度报告中包含的2021年薪酬报告。
薪酬委员会聘请外聘审计员执行与执行管理委员会薪酬政策有关的某些商定程序。
薪酬监督委员会
2021年第一季度,薪酬委员会完成了对监事会薪酬的基准审查。这导致对监事会和委员会的会费进行了一些调整。修订后的监事会薪酬政策纳入了这些调整,于2021年4月29日提交大会并获得通过。
详情见《监事会-薪酬报告》。
推选及提名委员会
遴选和提名委员会协助监事会履行其在监事会和管理委员会的组成和运作以及监测公司治理发展方面的职责。
| | | | | |
成员 | 主要职责 |
•Gerard Kleisterlee(主席) •安妮特·阿里斯 •马克·杜尔坎 •特里·凯利 | •拟订监事会和管理委员会成员的遴选标准和任命程序,并监督管理委员会有关高级管理层遴选和任命标准的政策; •定期评估管理委员会和监事会的范围和组成,提出监事会的概况; •对管理委员会和监事会及其个别成员的运作情况进行定期评价。 •编制监事会关于任命和重新任命管理委员会成员以及提议(重新)任命监事会成员的决定 •监测和讨论公司治理的发展情况。 |
根据纳斯达克上市规则,每位成员均为我们监事会的独立非执行成员。 |
推选及提名委员会会议
遴选和提名委员会每年至少举行两次会议,并在认为必要时更频繁地举行会议。2021年,推选提名委员会召开了9次会议。
| | | | | | | | | | | |
经常性议程主题 | 出席率 |
•管理委员会的角色、组成、运作情况 •角色、组成、行使职能的监事会 •公司治理
| 除遴选和提名委员会成员外,两位总统和执行副总裁人力资源主任定期受邀出席委员会的(部分)会议。如认为有需要,亦会邀请外聘顾问出席推选和提名委员会的会议。 |
以下概述详细介绍了薪酬委员会2021年会议期间讨论的议题。
| | | | | | | | | | | |
上半年 | •管理委员会的组成,包括多样性方面和继任渠道 •组成监事会,包括到2021年各委员会的继任和组成 •2022年和2023年年度股东大会监事会组成的变化和监事会成员的任命提名 •新任命的监事会成员入职计划 •评估监事会和委员会 | 下半年 | •未来组成管理委员会,包括多样性要求和继任管道 •2022年年度股东大会管理委员会组成 •2022年和2023年年度股东大会监事会组成的变化和监事会成员的任命提名 •2022年年度股东大会监事会委员会的组成 •对监事会和委员会的评价 •公司治理更新:荷兰性别多样性法案 •组成董事会优先股基金会,按2022年1月1日 |
管理委员会的组成、角色和责任
2021年,遴选和提名委员会花了大量时间讨论管理委员会未来的组成、作用和职责,例如审查人才名单,讨论顶尖人才的职业发展,为管理委员会未来的作用做准备。委员会还评估了管理委员会及其个别成员的运作情况。为此目的,主席与管理委员会的每个成员举行了会议,并与委员会讨论了会议结果。
Frits van Hout在他的任期结束后退休,他的任期将于2021年年度股东大会结束。ASML没有任命Frits van Hout的继任者。因此,管理委员会由五名成员组成,从2021年年度大会开始生效。Frits van Hout的职责已由剩余的管理委员会成员接管,以确保ASML战略的不间断执行,以实现其为利益相关者设定的目标。
根据2022年年度股东大会,温宁克先生、范登布林克先生、达森先生、福奎先生和施奈德-毛努里先生的任期将届满。有鉴于此,遴选和提名委员会和监事会正在彼此之间以及与管理委员会的个别成员广泛讨论是否有可能延长到2022年年度大会生效的任命期。
监事会的组成、角色和职责
推选和提名委员会广泛讨论了监事会的组成。
会议花了大量时间讨论监事会的概况和轮换时间表,特别是关于任命和重新任命监事会成员以填补短期和长期空缺的问题。这导致了
建议监事会提名Birgit CONIX为监事会成员,自2021年年度股东大会起生效。
遴选和提名委员会还根据退休和新任命讨论了监事会委员会的组成,并提出了几项变动,这些变动将在2021年年度股东大会上生效。
2021年监事会委员会的变化
根据推选和提名委员会的建议,监事会决定在2021年对其委员会的组成进行几次修改。Carla Smitts-Nustling退休后,Rolf-Dieter Schwalb成为审计委员会主席,Birgit CONIX成为审计委员会成员。特里·凯利接任薪酬委员会主席,安妮特·阿里斯作为正式成员加入薪酬委员会。马克·杜尔坎被任命为技术委员会主席,接替道格拉斯·格罗斯退休。道格拉斯·格罗斯退休后,马克·杜尔坎和特里·凯利加入了遴选和提名委员会。最后,安妮特·阿里斯被任命为监事会副主席。
遴选和提名委员会还讨论了在2022年年度股东大会上生效的其组成的变化,并就任命Hans Stork的继任者的提名向监事会提供建议,Hans Stork将在我们的监事会服务八年后退休。详情见监事会--监事会报告--组成。
2021年底和2022年初,遴选和提名委员会讨论了监事会个别成员的运作情况以及监事会自我评价的过程和结果。有关自我评估的更多细节,请参见监事会-监事会报告-评估。
公司治理
作为监测公司治理发展的责任的一部分,遴选和提名委员会除其他外讨论了荷兰议会2021年9月28日通过的荷兰性别多样性法案的发展及其对ASML的影响。对投资者和股东利益组织关注的事项也进行了讨论。
技术委员会
技术委员会就执行业务战略所需的技术计划向监事会提供建议。
| | | | | |
成员 | 主要职责 |
•马克·杜尔坎(主席) •安妮特·阿里斯 •杰拉德·克莱斯特利 •汉斯·斯托克
| •A制定技术趋势,研究潜在的替代战略、技术战略、产品路线图、所需的技术资源和研发中的运营业绩; •M就ASML的竞争地位向监事会提出有关技术相关项目的建议; •D讨论为衡量短期和长期绩效而设定的技术目标以及与此相关的成就,并就此问题向薪酬委员会提供建议。 |
技术委员会得到外部专家和来自ASML内部的专家的支持,他们在该委员会审查和讨论的议题上担任顾问。外部专家可能包括客户、供应商和合作伙伴的代表,以增加委员会对开发我们的尖端系统所需的技术和研究的了解。 |
2021年技术委员会会议
一般而言,技术委员会每年至少召开两次会议,并在认为必要时更频繁地开会。2021年,技术委员会召开了五次会议。
| | | | | | | | | | | |
经常性议程项目 | 出席率 |
•产品路线图 •进步技术领导力指数 | 除技术委员会成员外,委员会的外部和内部顾问定期出席委员会会议。顾问们没有投票权。 |
除经常性议程项目外,技术委员会还在2021年审查和讨论了其他事项。下表概述了这些主题。
| | | | | | | | | | | |
Q1 | •业务线回顾:应用 •审查自我评估技术委员会 | Q2 | •业务线回顾:EUV(包括High-NA)
|
Q3 | •业务线回顾:DUV •摩尔定律的未来 •逻辑与记忆中的路线图 | Q4 | •EUV(包括高NA)路线图的现状和挑战 •DUV路线图的现状和挑战 •中长期路线图和技术展望
|
审查技术计划
2021年,技术委员会主要专注于审查EUV 0.55 NA(High-NA)、EUV 0.33 NA、DUV和Applications中技术计划和路线图的执行和实施情况。在这方面,主要挑战和机遇
从业务角度和技术角度都进行了审查和深入讨论。在每次会议期间,技术委员会还讨论了技术领导指数所列技术目标的进展情况,技术领导指数是管理委员会短期和长期浮动薪酬的业绩衡量标准。在专门为此目的计划的一次会议上,技术委员会讨论了关于技术指标的最后成果和新业绩期间的技术指标。技术委员会随后向薪酬委员会和监事会提供了咨询意见。
第一季度的会议专门讨论了业务线应用程序方面的成就。向技术委员会通报了对2026年的展望、市场发展、竞争格局和这方面的机会。此外,还提供了关于计算光刻、光学计量、电子束计量和控制以及数据产品的最新情况。在这次会议上,技术委员会还讨论了对技术委员会运作情况进行外部评价的结果。
在第二季度,讨论了EUV 0.33 NA和EUV 0.55 NA(High-NA)的成就和挑战。特别关注了EUV 0.33 NA的市场发展和性能,以及产品和动力路线图。在High-NA上,向技术委员会通报了客户对High-NA的兴趣和参与度、发货计划的状况和价值主张。在这次会议期间进行了现场直播,向技术委员会提供了威尔顿和圣地亚哥ASML生产设施的虚拟参观。此外,ZEISS还提供了其在德国奥伯科兴的设施的虚拟参观。
技术委员会第三季度会议的主要焦点是DUV的发展和成就。在产品路线图和技术计划的旁边,技术委员会讨论了ASML及其供应链提高产能以满足客户需求的可能性,继续创新以支持我们客户的路线图和经济性,以及对效率和质量的推动。此外,来自IMEC的外部发言者被邀请向技术委员会通报他们对摩尔定律的未来以及逻辑和记忆路线图的看法。
第四季度,技术委员会将重点放在路线图的现状和与EUV 0.55 NA(High-NA)、EUV 0.33 NA和DUV相关的挑战上。此外,技术委员会还展望了中长期路线图和技术前景。来自ZEISS管理层的代表出席了第四季度技术委员会会议,讨论了与产品和技术路线图相关的合作和共同挑战。
技术委员会访问ZEISS
第四季度技术委员会会议在德国奥伯科兴的ZEISS举行。在访问期间,技术委员会和ZEISS的一个代表团讨论了两家公司之间的合作,也参考了2021年达成的新框架协议。他们还讨论了各种产品路线图的现状和相关挑战。技术委员会还参观了ZEISS制造工厂,见证了第一个EUV 0.55 NA系统的组装准备工作取得的巨大成就。
技术委员会深入的技术讨论以及随后在全体监事会中就这些讨论的要点进行的报告增加了监事会对我们技术要求的了解。它还使监事会能够充分监督我们面临的战略选择,包括我们在研发方面的投资。
财务报表和利润分配
管理委员会编制的ASML 2021财政年度财务报表已由毕马威会计师事务所审计。管理委员会和监事会的所有成员都签署了这些财务报表。
我们向股东建议他们采用E 2021年财务报表。我们还建议我们的股东采纳管理委员会的建议,支付每股普通股3.70欧元的末期股息,加上每股普通股1.80欧元的中期股息,每股普通股的总股息为5.50欧元。我们是关于2021财政年度的。
最后,我们要对管理委员会和所有ASML员工表示感谢,感谢他们在这充满挑战的一年里继续致力于工作和辛勤工作。
监事会,
Gerard Kleisterlee,主席
安妮特·阿里斯,副主席
比尔吉特CONIX
马克·杜尔坎
沃伦·伊斯特
特里·凯利
罗尔夫-迪特尔-施瓦布
汉斯·斯托克
维尔德霍温,2022年2月9日
薪酬委员会主席的贺词
特里·凯利(薪酬委员会主席)
尊敬的利益相关者:
我很高兴代表薪酬委员会提交《2021年薪酬报告》,总结管理委员会和监事会的薪酬政策,并解释这些政策在2021年的实施情况。
为了保持快速的创新步伐,并确保作为一家公司的长期成功,ASML需要吸引和留住最优秀的人才。薪酬是一个重要的因素,但不是唯一的因素--我坚信,人们的动机也不止于此。我们有一个伟大的故事,ASML的全球影响仍在增长,与才华横溢的同事在技术前沿合作也是非常有益的-我们提供了一种工作文化,使人们能够发展他们的才华,感受到尊重,并尽其所能地工作。
在展示ASML的文化价值并使其更加明确方面,已经做了很多伟大的工作。在努力在保护我们的竞争地位和提供透明度之间保持良好平衡的同时,我们不断寻找机会,在我们如何奖励我们的领导者和更广泛的组织时加强这些价值观,以推动ASML的长期成功。
2021年业绩摘要
回顾2021年,大家都认为,由于新冠肺炎大流行造成的许多限制,这不是轻松的一年,我们高兴地看到,反洗钱在一个非常动态的环境中取得了出色的表现。半导体终端市场的强劲增长和不断提高的光刻强度以满足对更多晶片产量的需求,导致对ASML产品和服务的巨大需求。为了满足当前和未来的客户需求,ASML及其供应链合作伙伴正在积极增加和提高产能。此外,在当前竞争激烈的市场中,加大招聘和留住ASML员工的力度已变得越来越具有挑战性。总体而言,从高标准开始,ASML的领导层制定了雄心勃勃的目标,并能够解决和应对许多挑战。监事会非常支持ASML的长期战略,并为管理委员会和整个组织所取得的成就感到自豪。
2021年薪酬委员会的变动
2021年,Annet Aris成为薪酬委员会成员,我为在2021年年度股东大会后接替罗尔夫-迪特尔·施瓦布担任主席感到荣幸。薪酬委员会的组成提供了适当的平衡,从地理和历史的角度来看,都有非常不同的观点。对我来说,这是一个很好的机会,因为我们正在深入研究管理委员会的薪酬政策,评估哪些方面运行得很好,并看看我们还可以改进的地方。我们还依赖外部专家帮助我们了解与其他同行组织的最佳实践,以及我们许多成员不断变化的期望。
2021年做出的决定
2021年第一季度,我们完成了对管理委员会和监事会薪酬政策的审查。根据对劳动力市场参考小组的两年一次的审查结果和2020年期间执行的薪酬基准,监事会的结论是,适当略微调整管理委员会的薪酬政策,以保持相对于参考市场的有竞争力的薪酬水平,在参考市场中,ASML的规模和复杂性再次大幅增长。监事会薪酬政策的修订要求
修订监事会和各委员会的会费,以保持竞争力,并继续吸引和留住合格的监事会成员。这两项政策都提交给了2021年的年度股东大会,并以超过90%的支持率获得通过。
提高薪酬政策的透明度
2021年,我们与治理组织、代理顾问、个人股东和ASML的劳资委员会进行了多次互动。这些互动与上文提到的修订管理委员会和监事会的薪酬政策以及2020年薪酬报告有关。
讨论涉及三个主题:i)目标设定和实际成绩的透明度;ii)酌情调整ROAIC分数,作为长期激励总体成绩分数的一部分;iii)与可持续性有关的业绩衡量标准。最后,与我们的利益攸关方就管理委员会的总体薪酬政策、薪酬与公司战略和业绩之间的联系、薪酬结构以及短期和长期激励的绩效指标交换了意见。
讨论非常有建设性,我们收到了关于如何进一步提高薪酬报告透明度的宝贵反馈和建议。这份薪酬报告已经考虑到了这一反馈。2021年第二季度开始的对管理委员会薪酬政策的基本审查也考虑到了利益攸关方的反馈。在这方面,与可持续性有关的绩效指标得到了广泛讨论,特别是其权重,以及如何最好地界定绩效指标并将其与2021年修订的ASML的ESG战略联系起来。
展望2022年
从2021年第二季度开始,薪酬委员会对管理委员会的薪酬政策进行了根本性审查-这一审查原计划在2020年进行,但由于新冠肺炎疫情而被推迟。审查的重点是薪酬结构和要素,以及劳动力市场参考小组。我们认为进行一次根本性的审查是适当的,因为对该政策的上一次结构修订是在2017年进行的,自那时以来,只通过调整薪酬水平(主要是STI和LTI)来实施微小的修订,以保持竞争力。五年后,是时候做一次更根本的审查了,看看这项政策是否对公司的战略方向提供了最佳支持。这也是一个回顾当前市场实践、社会趋势和预期以及公司治理发展的时刻。根据这一基本审查的结果,我们打算在2022年的年度股东大会上提交一份关于修订管理委员会薪酬政策的提案。主要变化涉及修订的劳动力市场参考小组和薪酬结构,以及调整后的STI和LTI业绩指标。
在对管理委员会薪酬政策进行基本审查期间,我们继续与管理委员会进行对话,以听取他们的看法和反馈意见。薪酬委员会和ASML领导层之间的密切合作是我们的首要任务,以建立信心,使我们相信我们正在衡量重要的事情,我们正在将自己与正确的公司进行比较,我们正在设定雄心勃勃但现实的目标。
我们亦正与劳资委员会、管治组织、代理顾问和我们的大股东就管理层薪酬政策的拟议改变进行对话。关于这些利益攸关方参与的更多信息将列入2022年年度股东大会的召集文件。
为了对管理委员会的薪酬政策进行根本审查,我们聘请了一位外部薪酬专家,引入了一双新的眼睛来挑战我们,并与我们分享他们在管理人员、风险和资本领域的经验。
关于经修订的管理委员会薪酬政策的完整提案将列入2022年年度股东大会的召集文件,预计将于2022年3月公布。
尚未对监事会薪酬政策进行根本性审查,因为监事会薪酬政策相对较新,是根据新的法律要求于2020年推出的。
我要感谢我们的股东和其他利益相关者的参与,并分享了他们对高管薪酬的看法。我们欢迎利益相关者就这份2021年薪酬报告提出反馈意见,该报告将于2022年4月29日提交给股东进行咨询投票。此外,我们希望我们的股东将支持2022年管理委员会薪酬政策,我们打算在2022年年度股东大会上提交通过该政策。
特里·凯利
薪酬委员会主席
管理委员会的薪酬
在薪酬报告的这一部分中,我们概述了2021年管理委员会薪酬政策,该政策于2021年4月29日由大会通过,并于2021年1月1日起实施。报告还载有管理委员会2021年薪酬政策的执行情况,以及管理委员会成员2021年财政年度的实际薪酬细节。管理委员会2021年薪酬政策可以在我们网站的治理部分找到。
薪酬政策
薪酬作为战略手段
2021年管理委员会薪酬政策支持ASML在高度动态的环境中的长期发展和战略,同时旨在满足所有利益相关者的要求,并保持可接受的风险状况。ASML面临的挑战比以往任何时候都更多地是推动技术、服务客户和满足利益相关者。这些驱动因素嵌入到ASML及其附属企业的身份、使命和价值观中,是政策的支柱。监事会确保该政策及其执行与ASML的目标相联系。
2021年管理委员会薪酬政策旨在使ASML能够为管理委员会吸引、激励和留住合格的行业专业人员,以确定和实现我们的战略目标。该政策承认内部和外部环境,以及我们的业务需求和长期战略。该政策鼓励注重长期价值创造以及ASML的长期利益和可持续性的行为,同时采用良好的公司治理的最高标准。它的目的是利用非财务和财务业绩衡量标准相结合的方式,激励取得杰出成就。技术领先、客户价值创造和员工敬业度是为我们的股东带来可持续回报的关键驱动力。
薪酬原则
ASML对所有员工适用的薪酬哲学包括这样的原则,即ASML希望在相关劳动力市场具有竞争力,并在这些市场上支付公平的薪酬,同时保持内部一致性,以反映个别工作的规模和复杂性的差异。监事会对ASML的管理委员会适用同样的原则,并在制定薪酬政策时考虑到ASML雇员的薪酬和雇用条件。
《2021年管理委员会薪酬政策》以下列原则为基础:
•透明--政策及其执行是明确和实际的;
•统一--该政策与ASML高级管理人员和其他ASML员工的短期激励和/或长期激励政策保持一致;
•长期--激励侧重于长期价值创造;
•合规-ASML采用最高标准的良好企业治理;以及
•简单-政策及其执行尽可能简单,所有利益相关者都很容易理解。
参考群体和市场定位
与所有ASML员工的薪酬理念类似,我们向管理委员会提供与相关劳动力市场相比具有竞争力的薪酬方案。这个市场的定义是通过创建一个可与之媲美的公司参考集团来定义的
ASML在规模和复杂性、数据透明度和地理区域方面。只要ASML在公司规模(以企业价值、收入和雇员人数衡量)和复杂性方面定位在参照组的中位数附近,中位数市场水平就可以作为确定管理委员会薪酬水平的参考点。
原则上,每两年进行一次基准测试。为了确保相关劳动力市场的适当组成,监事会在进行基准时审查参考小组的组成。将向股东建议对参照组的组成进行重大修改。在没有市场评估的年度,监事会根据市场环境以及其他ASML员工的工资调整来考虑基本工资的任何变化是否适当。
2020年,我们审查了参照组,并执行了薪酬基准。参考小组(由20家公司组成)自2018年以来没有变化,而ASML则有了相当大的增长。2020年参考小组审查的结果是,由于ASML的发展,一家参考公司Smith&Nephew PLC与ASML相比变得太小,因此被取消。另外两家公司夏尔公司(Shire PLC)和林德股份公司(Linde AG)被撤职,因为它们被欧洲以外的公司收购或合并,因此不再有资格成为参考公司。为了保持参照组的规模大致相同,参照组增加了两家新公司:恩智浦半导体,它是ASML的行业同行;爱立信,其平均规模大于ASML,因此使ASML在规模上更接近参照组的中位数。在修订的参考组中,ASML的规模(基于2019年数据)最终略高于中位数(第55个百分位数)。对参照小组和相应基准的2020年审查是对管理委员会2021年薪酬政策进行调整的基础。
| | | | | |
目前参照组的组成 | |
阿克苏诺贝尔 | 勒格朗 |
阿尔斯通 | 莱昂纳多-芬梅卡尼卡 |
大陆航空公司 | 诺基亚 |
Covestro | 恩智浦半导体 |
DSM | 飞利浦 |
爱立信 | 思爱普 |
Essilux(前身为Essilor) | 辛德勒 |
埃沃尼克 | 索尔维 |
奇华顿 | 雅拉国际 |
英飞凌科技 | |
| |
总直接薪酬
薪酬水平是使用直接薪酬总额确定的。直接薪酬总额包括固定基本工资和短期激励和长期激励形式的可变薪酬。其他薪酬要素是养恤金和费用偿还。
可变薪酬
业绩参数由监事会制定,由财务和质量措施组成,以便在各种公司目标之间实现最佳平衡,无论是短期目标还是长期目标。通过这样做,确保可变薪酬有助于ASML的战略、长期利益和可持续性。如果任何一年的战略优先事项发生变化,监事会可根据《2021年管理委员会薪酬政策》中概述的规则和原则,调整业绩计量及其在可变收入中的相对权重。监事会可运用其酌情决定权,向上或向下调整奖励支出(“最后通牒”)。
作为2021年年度股东大会核准的《管理委员会薪酬政策》修订的一部分,对目标直接薪酬总额进行了调整,使其更接近修订后的参考小组的直接薪酬总额中值水平。为此,将长期奖励的目标水平从110%(主席)或100%(管理委员会其他成员)提高到管理委员会所有成员的120%。
下表列示管理委员会在业绩达到目标情况下的浮动薪酬占基薪的百分比。
| | | | | |
可变薪酬 | 以基本工资的百分比表示的浮动薪酬 |
短期激励 | 80 | % |
长期激励 | 120 | % |
总计 | 200 | % |
《2021年薪酬政策管理委员会纪要》
以下概述了《2021年管理委员会薪酬政策》的要点及其与反洗钱战略的联系。
2021年薪酬管理委员会
管理委员会2021年财政年度的薪酬是执行并遵守管理委员会2021年薪酬政策,下文进一步解释。因此,管理委员会2021年的薪酬有助于实现《2021年管理委员会薪酬政策》的目标,并因此促进了本组织旨在创造长期价值的战略。对可变薪酬构成部分的可能结果及其对管理委员会薪酬的影响进行了情景分析。
基本工资
管理委员会成员的基本工资于2021年初确定。监事会决定,与2020年的水平相比,2021年的基本工资不会增加。保持基本工资水平不变的原因是2021年薪酬政策的修订,其中包括提高长期激励的目标水平,从而增加直接薪酬总额。2021年b关于薪金水平,请参阅管理委员会总薪酬一节。
短期激励
科技创新的财务和非财务目标水平是根据《2021年管理委员会薪酬政策》并考虑到2021年年度计划(预测)在2021年财政年度开始时确定的。
对于科技创新,2021年采用了以下定性绩效指标:
•市场定位,衡量ASML在市场上的表现,不仅要看市场份额,还要看客户满意度和质量。市场地位指标由几个子指标组成。对于应用和DUV业务,设定了市场份额目标。这些目标涉及ASML面临激烈竞争的某些应用和DUV市场。对于EUV,没有设定市场份额目标,因为ASML是EUV技术的唯一供应商。相反,使用了与NXE:3400工具的可用性相关的目标,因为可用性是反映我们在客户现场的工具性能质量的关键指标,因此监事会认为它是衡量客户满意度的适当指标。总体客户满意度也是市场地位指标的一部分,并使用外部基准:VLSI调查进行衡量。应用程序和DUV市场份额指标以及EUV可用性指标合计占市场地位指标总权重的50%。VLSI的调查结果占市场头寸目标的剩余50%。
•技术领先指数,一组与ASML的产品和技术路线图相关的内部目标。因此,它衡量ASML在相关绩效期间取得的技术进步,支持我们推动创新的努力,从而帮助我们的客户实现目标并实现新技术和应用。2021年技术领先指数由应用、DUV和EUV领域的17个关键项目组成。例如,这些项目涉及检查和计量系统的改进、以每天晶圆数表示的制造能力、降低成本的部件通用性、(EUV)光源的功率等。由于从竞争和战略的角度来看,这将对公司及其利益相关者不利,因此没有披露包括在技术领导力指数中的关键项目的确切细节。为了计算技术领导力指数绩效,每个项目的得分在1到10之间;总体技术领导力指数得分是17个个人得分的平均值。STI和LTI都使用技术领导力指数作为定性的绩效衡量标准。两者的目标是相同的,但适用的措施、目标和业绩周期不同,并与具体的短期和长期战略优先事项保持一致。
除了技术领先指数和市场地位业绩指标外,2021年STI还选择了三项财务业绩指标。根据ASML在2021年的业务挑战和情况,监事会从列入《2021年管理委员会薪酬政策》的预定义清单中选择了以下三项财务措施:
•息税前利润%,衡量运营收入占总净销售额的百分比
•EUV毛利率%,衡量毛利润占EUV总净销售额的百分比
•自由现金流,衡量经营活动的现金流减去购买财产、厂房和设备以及购买无形资产。
业绩期结束后,监事会与相关小组委员会技术委员会、审计委员会和薪酬委员会合作,评估了实现的业绩目标。STI绩效标准的目标和实际实现水平如下表所示,但符合商业或战略敏感信息的数字除外,即与市场头寸指标的可用性和市场份额相关要素相关的数字。监事会认为披露这些信息不符合ASML及其利益相关者的利益。考虑到透明度,我们以目标百分比的形式报告这些指标的绩效。
| | | | | | | | | | | | | | | | | | | | |
性能指标 | 绩效目标2 | 实际结果 | 派息4 |
| 重量 | 阀值 | 目标 | 伸长 | | 目标百分比 |
市场地位 | | | | | | |
可用性和市场份额 | 10 | % | * | | 122.5 | % |
超大规模集成电路调查 | 10 | % | 前5名 | 前3名 | 前2名 | 前2名 | 150.0 | % |
总市场头寸 | 20 | % | | | 136.3 | % |
| | | | | | |
技术领先指数 | 20 | % | 4 | | 6 | | 10 | | 8 | | 125.0 | % |
| | | | | | |
息税前利润(%)3 | 20 | % | 24 | % | 27 | % | 30 | % | 36 | % | 150.0 | % |
| | | | | | |
EUV毛利率% | 20 | % | 43.5 | % | 45.5 | % | 47.5 | % | 46.0 | % | 111.3 | % |
| | | | | | |
自由现金流(欧元,百万欧元)1 | 20 | % | 1,000 | | 2,000 | | 3,000 | | 8,158 | | 150.0 | % |
| | | | | | |
总计 | 100 | % | | | | | 134.5 | % |
1.自由现金流目标水平和实际情况不包括本财年从2021年没有合同付款义务的客户那里收到的早期付款。实际结果自由现金流(非GAAP衡量标准)的计算方法是经营活动产生的现金流量108.46亿欧元减去购买物业、厂房和设备9.01亿欧元,减去无形资产购买量3960万欧元,减去本财政年度从没有合同付款义务的客户那里收到的前期付款17.47亿欧元,实际结果为81.58亿欧元。
2.由于战略或商业敏感性,某些业绩目标(*)未予披露。
3.实际结果息税前利润%(非GAAP衡量标准),计算方法为运营收入67.5亿欧元除以总净销售额186.11亿欧元,实际结果为36%。
4.支出百分比是根据管理委员会《2021年薪酬政策摘要》一节中包含的支出水平计算的。
当前管理委员会的科学、技术和创新成果总额为440万欧元,相当于134.5%目标的百分比。
息税前利润达到36%的实际业绩结果主要是由于销售额和盈利能力的增加。我们的EUV和DUV沉浸系统的盈利能力增加,因为我们为客户提供了更多价值。我们安装基础业务的盈利能力的提高是通过产量和规模经济的提升。
自由现金流的实际业绩结果为81.58亿欧元,主要是由于我们的客户净收入增加和首付增加,经营活动提供了强劲的净现金。
长期激励
根据《2021年管理委员会薪酬政策》,以下业绩指标适用于LTI:
•股东总回报相对于指数,衡量ASML股价的相对变化,加上在相关业绩期间支付的股息。ASML的总股东回报率与PHLX半导体行业指数进行了比较,PHLX半导体行业指数是一个纳斯达克指数,旨在跟踪一系列从事半导体设计、分销、制造和销售的公司的业绩。
•平均投资资本回报率(ROAIC),衡量ASML投入运营的资本回报率,而不考虑我们的资本结构。它被用作衡量公司价值创造的基本指标。ROAIC的计算方法是将所得税后的收入除以平均投资资本。
•技术领先指数,这是一个定性的衡量标准,也适用于科技创新。关于技术领导力指数的定义以及它如何对公司战略做出贡献的解释,请参考短期激励一节。作为LTI衡量指标的技术领导力指数比STI的同类指标更具前瞻性。它包括未来三年、两年和来年要实现的目标。每年都会为未来三年确定新的目标。今后两年的目标是基于前一年的目标(当时提前三年)和分数的修正系数(向上或向下),这取决于目标似乎更容易实现还是更难实现。随后的几年也采用了同样的办法。技术领导力指数在三年绩效期间的总分是三年的平均分,包括对每一年的分数应用的相关修正系数。
•可持续发展,这是一种定性的衡量标准,通过将道琼斯可持续发展指数(DJSI)的年度综合结果与半导体行业的最佳业绩进行比较,确定我们在可持续发展领域的表现。DJSI评估是一项综合评估,衡量我们在20多个ESG方面的表现。它使我们能够与我们的行业同行一起对我们公司在更广泛的ESG领域的表现进行基准测试,并推动不断改进。基础是我们的2019-2025年可持续发展战略,其中包含一套16项关键绩效指标和目标,我们通过全面的重要性评估和利益相关者持续参与的投入来定义这些指标和目标。更多内容请阅读:非财务报表-重要性评估。
归属LTI 2019-2021
在2019-2021年三年业绩期结束后,监事会与技术委员会、审计委员会和薪酬委员会合作,对照LTI目标评估了所取得的业绩。LTI业绩标准的目标和实际实现水平列于下表。
监事会对与2019-2021年LTI计划的ROAIC业绩指标相关的支出进行了调整,以使业绩指标与2021-2023年计划和先前调整的2018-2020年计划使用的指标保持一致。这项调整导致业绩指标ROAIC的派息为193.3%,而未经调整的伸展业绩(200%)则为200%,因此对2019年至2021年LTI计划的结果不利。这一调整没有递增的会计影响,因为费用是根据最大伸展业绩确认的。
下表列出了2019-2021年LTI业绩标准的目标和实现水平。
| | | | | | | | | | | | | | | | | | | | | | | | | | |
性能指标 | 绩效目标 | 实际执行情况 | 支付百分比2 | |
| 重量 | 阀值 | 目标 | 超过 | 伸长 | | | |
相对TSR | 30 | % | (20) | % | 0 | % | 不适用 | 20 | % | 161.1 | % | 200.0 | % | |
ROAIC1 | 40 | % | 27.0 | % | 29.5 | % | 32.0 | % | 34.5 | % | 34.2 | % | 193.3 | % | |
技术领先指数 | 20 | % | 4 | 6 | 8 | 10 | 8.3 | 157.5 | % | |
可持续性 | 10 | % | ≤ 16% | ≤ 13% | 不适用 | ≤ 7% | 12.1 | % | 115.2 | % | |
| | | | | | | | |
总计 | 100 | % | | | | | | 180.3 | % | 3 |
1.实际绩效得分ROAIC为34.2%,为标准化得分。ROAIC的计算方法是将所得税后的收入除以平均投资资本。
2.支出百分比是根据管理委员会《2021年薪酬政策摘要》一节中包含的支出水平计算的。
3.180.3%的总实际绩效得分是基于单个绩效指标的权重乘以支出百分比。
LTI的总结果导致目标的180.3%的股份归属。
赠款2021
2021年初,有条件地向管理委员会现任成员发放了28354股2021年业绩计划的业绩股票。这些有条件的赠款是基于可实现的最大机会。
与LTI绩效衡量ROAIC、技术领先指数和可持续发展相关的目标水平于2021年初为2021-2023年的绩效时期设定。这样做时考虑到了长期产品路线图、可持续发展目标和长期财务计划,从而确保各种目标与ASML的长期战略优先事项保持一致,并鼓励注重长期价值创造的行为。
其他报酬
2021年,管理委员会成员参加了管理委员会的养老金安排,该安排基于我们在荷兰的员工的‘额外’(补充)安排,这是荷兰财政法规定义的固定缴款机会。它由总养恤金部分(工资低于约112,000欧元)和净养恤金部分(工资超过112,000欧元)组成。由于荷兰以外的国家对此有不同的税收处理,一些成员选择不计入养恤金净额。详情请参阅发生的会计费用 2021年养恤金安排的适用情况见管理委员会薪酬总额表。
ASML在2021年报销的费用包括公司汽车费用、代表津贴、社会保障费用以及健康和残疾保险费用。
股份所有权准则
下表显示了截至2021年12月31日每名管理委员会成员的股份所有权要求、已发行既有股份数量和股份持股比例。
| | | | | | | | | | | | | | |
BOM表成员 | 所有权要求 | 2021年基本工资,以千欧元为单位 | 既得股总数 | 所有权比例1 |
P.T.F.M.温宁克 | 3x基数 | 1,020 | | 32,485 | | 22.51 | |
M.A.范登布林克 | 3x基数 | 1,020 | | 13,066 | | 9.05 | |
F·J·M·施奈德-莫努里 | 2x基数 | 694 | | 17,506 | | 17.83 | |
RJ M达森 2 | 2x基数 | 694 | | 1,613 | | 1.64 | |
C.D.福凯 | 2x基数 | 694 | | 3,488 | | 3.55 | |
1.所有权比率的计算方法是将归属股份总额乘以股价706.70欧元(基于2021年12月31日收盘价),再除以基本工资。
2.RJ.M.的所有权比例根据2021年12月31日的Dassen低于内部所有权要求。薪酬委员会决定考虑2022年1月的股份归属,以评估2021年12月31日是否遵守股权准则。由于2019-2021年计划于2022年1月1日归属,导致已归属股份总数远远超过所有权要求。
薪酬共计
根据2021年、2020年和2019年发生的会计费用,管理委员会成员的薪酬如下(金额以千欧元计):
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
董事会成员 管理 | 金融 年 | 基本工资 | 养老金 | 其他好处 | 固定合计 | 固定百分比 | STI | LTI | 总变量 | %变量 | 总薪酬 | 固定与可变的相对比例 |
P.T.F.M.温宁克 | 2021 | 1,020 | | 206 | | 57 | | 1,283 | | 26.6 | % | 1,098 | | 2,439 | | 3,537 | | 73.4 | % | 4,820 | | 0.36 |
2020 | 1,020 | | 216 | | 57 | | 1,293 | | 28.3 | % | 1,135 | | 2,136 | | 3,271 | | 71.7 | % | 4,564 | | 0.40 |
2019 | 1,000 | | 207 | | 53 | | 1,260 | | 28.9 | % | 1,070 | | 2,031 | | 3,101 | | 71.1 | % | 4,361 | | 0.41 |
M.A.范登布林克 | 2021 | 1,020 | | 206 | | 56 | | 1,282 | | 26.6 | % | 1,098 | | 2,439 | | 3,537 | | 73.4 | % | 4,819 | | 0.36 |
2020 | 1,020 | | 216 | | 57 | | 1,293 | | 28.3 | % | 1,135 | | 2,136 | | 3,271 | | 71.7 | % | 4,564 | | 0.40 |
2019 | 1,000 | | 207 | | 52 | | 1,259 | | 28.9 | % | 1,070 | | 2,031 | | 3,101 | | 71.1 | % | 4,360 | | 0.41 |
F.J.M. 施奈德- 毛努里 | 2021 | 694 | | 115 | | 36 | | 845 | | 26.8 | % | 747 | | 1,566 | | 2,313 | | 73.2 | % | 3,158 | | 0.37 |
2020 | 694 | | 122 | | 36 | | 852 | | 29.1 | % | 773 | | 1,302 | | 2,075 | | 70.9 | % | 2,927 | | 0.41 |
2019 | 680 | | 114 | | 30 | | 824 | | 30.3 | % | 728 | | 1,172 | | 1,900 | | 69.7 | % | 2,724 | | 0.43 |
R.J.M.达森 | 2021 | 694 | | 115 | | 51 | | 860 | | 22.6 | % | 747 | | 2,193 | | 2,940 | | 77.4 | % | 3,800 | | 0.29 |
2020 | 694 | | 100 | | 51 | | 845 | | 22.2 | % | 773 | | 2,186 | | 2,959 | | 77.8 | % | 3,804 | | 0.29 |
2019 | 680 | | 93 | | 47 | | 820 | | 27.7 | % | 728 | | 1,408 | | 2,136 | | 72.3 | % | 2,956 | | 0.38 |
C.D.福凯 | 2021 | 694 | | 78 | | 52 | | 824 | | 26.3 | % | 747 | | 1,566 | | 2,313 | | 73.7 | % | 3,137 | | 0.36 |
2020 | 694 | | 83 | | 51 | | 828 | | 27.8 | % | 773 | | 1,374 | | 2,147 | | 72.2 | % | 2,975 | | 0.39 |
2019 | 680 | | 74 | | 47 | | 801 | | 36.4 | % | 728 | | 674 | | 1,402 | | 63.6 | % | 2,203 | | 0.57 |
管理委员会总理事会 | 2021 | 4,122 | | 720 | | 252 | | 5,094 | | 25.8 | % | 4,437 | | 10,203 | | 14,640 | | 74.2 | % | 19,734 | | 0.35 |
2020 | 4,122 | | 737 | | 252 | | 5,111 | | 27.1 | % | 4,589 | | 9,134 | | 13,723 | | 72.9 | % | 18,834 | | 0.37 |
2019 | 4,040 | | 695 | | 229 | | 4,964 | | 29.9 | % | 4,324 | | 7,316 | | 11,640 | | 70.1 | % | 16,604 | | 0.43 |
| | | | | | | | | | | | |
作为LTI(股份奖励)一部分报告的薪酬基于美国公认会计原则下发生的成本。股份奖励的成本根据非市场要素预期归属的奖励数量在3年归属期内从综合经营报表中扣除。在前2年,我们应用最大可实现的股票奖励数量,并在奖励的最后一个绩效年度,我们将非市场绩效条件的估计更新为预计归属的最佳估计金额。基于可实现的股份奖励数量的最佳估计的金额与基于归属的股份奖励实际数量的金额之间的任何差异,将在股份奖励归属的财政年度的综合经营报表中考虑。基于市场的要素按目标计算。
薪酬总额前管理委员会
自2021年从公司退休以来,FJ van Hout不再是管理委员会成员。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
前董事会成员 管理 | 金融 年 | 基本工资 | 养老金 | 其他好处 | 固定合计 | 固定百分比 | STI | LTI | 总变量 | %变量 | 总薪酬 | 固定与可变的相对比例 |
范豪特1 | 2021 | 231 | | 47 | | 16 | | 294 | | 11.4 | % | 243 | | 2,036 | | 2,279 | | 88.6 | % | 2,573 | | 0.13 |
| 2020 | 694 | | 122 | | 47 | | 863 | | 29.4 | % | 773 | | 1,302 | | 2,075 | | 70.6 | % | 2,938 | | 0.42 |
| 2019 | 680 | | 114 | | 44 | | 838 | | 30.6 | % | 728 | | 1,172 | | 1,900 | | 69.4 | % | 2,738 | | 0.44 |
1.F.J.van Hout的2021年薪酬总额不包括估计金额880万欧元,以计入本公司根据荷兰工资税法第32b条向荷兰税务机关支付的解雇福利税款。
Van Hout先生的2021年STI是根据2021年提供的服务天数按比例计算的。Van Hout先生将继续享有根据LTI计划于2018年、2019年及2020年授予的业绩股份,该等股份将根据授出函件所述的相关业绩标准授予。2021-2023年LTI计划的赠款根据2021年提供的服务天数按比例分配。正在运行的LTI计划的所有LTI费用在2021年入账,因为在2021年服务期结束后不提供任何服务。披露的薪酬总额不包括估计金额880万欧元,以计入本公司根据荷兰工资税法第32bb条应向荷兰税务机关缴纳的解雇福利税款。2021年财政年度,范·胡特先生的薪酬支出总额为1140万欧元,其中包括这项税收。
基于股份的支付
下表披露了管理委员会现任成员的绩效以股份为基础的薪酬。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | 市场基础要素 | 非市场要素 | | | | | | |
董事会成员 管理 | 授予日期 | 状态 | 完全控制 | 目标股份数 | 授予日的公允价值 | 目标股份数 | 授予日的公允价值 | 授予日期的目标股份总数 | 最大份额(200%) | 归属日期 | 归属日的股份数量 | 归属当年年终股价 | 禁售日期结束 |
PTFM Wennink | 1/22/21 | 有条件的 | 不是 | 1,053 | | 635.6 | | 2,455 | | 454.9 | | 3,508 | | 7,016 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
1/24/20 | 有条件的 | 不是 | 1,387 | | 286.9 | | 3,235 | | 263.7 | | 4,622 | | 9,245 | | 1/1/23 | 不适用 | 不适用 | 1/1/25 |
7/19/19 | 无条件的 | 不是 | 2,217 | | 245.4 | | 5,173 | | 194.4 | | 7,390 | | 14,780 | | 1/1/22 | 13,326 | | 706.7 | 1/1/24 |
1/19/18 | 无条件的 | 不是 | 1,958 | | 215.1 | | 4,570 | | 162.8 | | 6,528 | | 13,056 | | 1/19/21 | 9,566 | | 439.9 | | 1/19/23 |
1/20/17 | 无条件的 | 不是 | 3,037 | | 145.4 | | 7,085 | | 110.5 | | 10,122 | | 20,243 | | 1/1/20 | 16,733 | | 263.7 | | 1/1/22 |
| | | | | | | | | | | | | |
M.A. van den Brink | 1/22/21 | 有条件的 | 不是 | 1,053 | | 635.6 | | 2,455 | | 454.9 | | 3,508 | | 7,016 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
1/24/20 | 有条件的 | 不是 | 1,387 | | 286.9 | | 3,235 | | 263.7 | | 4,622 | | 9,245 | | 1/1/23 | 不适用 | 不适用 | 1/1/25 |
7/19/19 | 无条件的 | 不是 | 2,217 | | 245.4 | | 5,173 | | 194.4 | | 7,390 | | 14,780 | | 1/1/22 | 13,326 | | 706.7 | 1/1/24 |
1/19/18 | 无条件的 | 不是 | 1,958 | | 215.1 | | 4,570 | | 162.8 | | 6,528 | | 13,056 | | 1/19/21 | 9,566 | | 439.9 | | 1/19/23 |
1/20/17 | 无条件的 | 不是 | 3,037 | | 145.4 | | 7,085 | | 110.5 | | 10,122 | | 20,243 | | 1/1/20 | 16,733 | | 263.7 | | 1/1/22 |
| | | | | | | | | | | | | |
F.J.M. 施奈德- 毛努里 | 1/22/21 | 有条件的 | 不是 | 717 | | 635.6 | | 1,670 | | 454.9 | | 2,387 | | 4,774 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
1/24/20 | 有条件的 | 不是 | 858 | | 286.9 | | 2,001 | | 263.7 | | 2,859 | | 5,718 | | 1/1/23 | 不适用 | 不适用 | 1/1/25 |
7/19/19 | 无条件的 | 不是 | 1,371 | | 245.4 | | 3,198 | | 194.4 | | 4,569 | | 9,137 | | 1/1/22 | 8,239 | | 706.7 | 1/1/24 |
1/19/18 | 无条件的 | 不是 | 1,125 | | 215.1 | | 2,626 | | 162.8 | | 3,751 | | 7,502 | | 1/19/21 | 5,496 | | 439.9 | | 1/19/23 |
1/20/17 | 无条件的 | 不是 | 1,745 | | 145.4 | | 4,070 | | 110.5 | | 5,815 | | 11,629 | | 1/1/20 | 9,613 | | 263.7 | | 1/1/22 |
| | | | | | | | | | | | | |
RJ M 达森 | 1/22/21 | 有条件的 | 不是 | 717 | | 635.6 | | 1,670 | | 454.9 | | 2,387 | | 4,774 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
1/24/20 | 有条件的 | 不是 | 858 | | 286.9 | | 2,001 | | 263.7 | | 2,859 | | 5,718 | | 1/1/23 | 不适用 | 不适用 | 1/1/25 |
7/19/19 | 无条件的 | 不是 | 1,371 | | 245.4 | | 3,198 | | 194.4 | | 4,569 | | 9,137 | | 1/1/22 | 8,239 | | 706.7 | 1/1/24 |
1/25/19 | 无条件的 | 不是 | 3,000 | | 169.0 | | 7,000 | | 148.3 | | 10,000 | | 20,000 | | 1/1/22 | 18,032 | | 706.7 | 1/1/24 |
7/20/18 | 无条件的 | 不是 | 657 | | 274.6 | | 1,531 | | 185.0 | | 2,188 | | 4,376 | | 1/19/21 | 3,207 | | 439.9 | 1/19/23 |
C.D.福凯 | 1/22/21 | 有条件的 | 不是 | 717 | | 635.6 | | 1,670 | | 454.9 | | 2,387 | | 4,774 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
1/24/20 | 有条件的 | 不是 | 858 | | 286.9 | | 2,001 | | 263.7 | | 2,859 | | 5,718 | | 1/1/23 | 不适用 | 不适用 | 1/1/25 |
7/19/19 | 无条件的 | 不是 | 1,371 | | 245.4 | | 3,198 | | 194.4 | | 4,569 | | 9,137 | | 1/1/22 | 8,239 | | 706.7 | 1/1/24 |
7/20/18 | 无条件的 | 不是 | 844 | | 274.6 | | 1,969 | | 185.0 | | 2,813 | | 5,626 | | 1/19/21 | 4,122 | | 439.9 | 1/19/23 |
下表披露了前管理委员会成员的绩效以股份为基础的薪酬。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | 市场基础要素 | 非市场要素 | | | | | | |
前董事会成员 管理 | 授予日期 | 状态 | 完全控制 | 目标股份数 | 授予日的公允价值 | 目标股份数 | 授予日的公允价值 | 授予日期的目标股份总数 | 最大份额(200%) | 归属日期 | 归属日的股份数量 | 归属当年年终股价 | 禁售日期结束 |
范豪特 | 1/22/21 | 有条件的 | 不是 | 239 | | 635.6 | | 557 | | 454.9 | | 796 | | 1,592 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
| 1/24/20 | 有条件的 | 不是 | 858 | | 286.9 | | 2,001 | | 263.7 | | 2,859 | | 5,718 | | 1/1/23 | 不适用 | 不适用 | 1/1/25 |
| 7/19/19 | 无条件的 | 不是 | 1,371 | | 245.4 | | 3,198 | | 194.4 | | 4,569 | | 9,137 | | 1/1/22 | 8,239 | | 706.7 | 1/1/24 |
| 1/19/18 | 无条件的 | 不是 | 1,125 | | 215.1 | | 2,626 | | 162.8 | | 3,751 | | 7,501 | | 1/19/21 | 5,496 | | 439.9 | | 1/19/23 |
| 1/20/17 | 无条件的 | 不是 | 1,745 | | 145.4 | | 4,070 | | 110.5 | | 5,815 | | 11,629 | | 1/1/20 | 9,613 | | 263.7 | | 1/1/22 |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | |
授予股份的理由、标准和主要条件
关于向管理委员会每位成员授予绩效股份的原因和标准,请参阅管理委员会2021年薪酬政策汇总表以及本薪酬报告中包含的2021年管理委员会薪酬-长期激励部分。
适用于2021年业绩份额的主要条件如下。这适用于管理委员会的每一位成员。
| | | | | |
仪器: | 业绩股 |
格兰特: | 每年根据最大可实现机会提供有条件补助金。有条件奖励之表现股份数目乃采用有条件奖励前一年最后一季之成交量加权平均股价计算。 |
授予日期: | 三年业绩期开始当年1月ASML年度业绩发布两天后 |
表演期: | 三年,从赠款当年的1月1日开始 |
归属: | 根据预定业绩目标的实现水平,该股份将在三年业绩期结束后的一年内成为无条件 |
禁售期: | 最短持有期为归属日期后两年。 |
| 合同终止后,除非死亡,否则转让限制将在持有期内继续有效。 |
| 如果管理委员会成员就收回的可变收入支付税款,则绩效股票可根据法律和内部法规在归属时部分出售(“出售至覆盖”)。 |
会计薪酬与公司业绩之间的关系
下表概述了过去五年会计薪酬与公司业绩之间的关系:
| | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,千) | 2017 | 2018 1 | 2019 | 2020 | 2021 |
净销售额 | 8,962,658 | | 10,944,016 | | 11,820,001 | | 13,978,452 | | 18,610,994 | |
| | | | | |
按美国公认会计原则计算的净利润 | 2,066,679 | | 2,591,614 | | 2,592,252 | | 3,553,670 | | 5,883,177 | |
| | | | | |
按欧盟国际财务报告准则计算的净收入 | 2,173,400 | | 2,525,515 | | 2,581,107 | | 3,696,813 | | 6,134,595 | |
| | | | | |
ASML股价(以欧元计算的阿姆斯特丹泛欧交易所收盘价) | 145.2 | 137.2 | 263.7 | 397.6 | 706.7 |
全职员工的平均薪资员工数 | 15,136 | 18,204 | 22,192 | 24,727 | 28,223 |
| | | | | |
| | | | | |
薪酬P.T.F.M.温宁克(首席执行官) | 3,455 | | 3,433 | | 4,361 | | 4,564 | | 4,820 | |
| | | | | |
薪酬M.A.范·登·布林克 | 3,454 | | 3,431 | | 4,360 | | 4,564 | | 4,819 | |
| | | | | |
报酬R.J.M.达森 | — | | 897 | | 2,956 | | 3,804 | | 3,800 | |
| | | | | |
薪酬FJ van Hout | 2,276 | | 2,177 | | 2,738 | | 2,938 | | 2,573 | |
| | | | | |
薪酬C.D.福凯 | — | | 1,125 | | 2,203 | | 2,975 | | 3,137 | |
| | | | | |
薪酬F.J.M.施耐德-莫努里 | 2,260 | | 2,169 | | 2,724 | | 2,927 | | 3,158 | |
| | | | | |
| | | | | |
| | | | | |
| | | | | |
每个全职员工的平均薪酬2 | 117 | | 115 | | 114 | | 120 | | 122 | |
| | | | | |
| | | | | |
内部薪酬比率(CEO与员工薪酬)2 | 30 | | 30 | | 38 | | 38 | | 40 | |
1.2018年,R.J.M.达森和C.D.福奎的薪酬较低,因为他们在2018年被任命为管理委员会成员。
2.内部薪酬比率的计算方法在CEO与平均薪酬(薪酬比率)的关系一节中披露。我们根据监督委员会《荷兰公司治理准则》关于2021年生效的《荷兰公司治理准则》3.4.1.iv节的2020年12月指南修订了我们的内部薪酬比率的计算方法。因此,内部薪酬比率的相对历史数字已被重述,以将社会保障费用包括在内部薪酬比率数字中。在计算中,我们只考虑了薪资雇员,因为这确保了与合并财务报表中披露的数字一致。如果我们将临时工纳入其中,这一比例将会较低,因为他们的平均薪酬较高。
解释公司业绩相对于薪酬的变化
上表旨在提供对公司过去五年的业绩和薪酬发展的洞察。销售额、净收入和股价是用来衡量公司业绩的,因为它们是代表ASML总体业绩的关键指标,而且考虑到与其他公司的可比性。该公司在过去几年中取得了显著的增长,这不仅体现在员工数量上,也体现在收入方面。自2017年以来,净销售额增长了107%。该公司同期的业绩也大幅增长,例如反映在净收益(根据欧盟国际财务报告准则自2017年以来增长185%)和ASML股价(增长387%)上。如表所示,与同期薪酬的发展相比,该公司在过去五年的业绩有了更显著的改善。公司的增长导致2019年和2021年管理层薪酬政策的修订,导致更高的基本工资以及更高的STI(目标)和LTI(目标)水平。实际薪酬可能每年波动,这取决于任何一年的实际STI支付,以及任何一年业绩股票(LTI)的归属和当时的股价。
CEO与平均薪酬(薪酬比率)的关系
内部薪酬比率1(CEO与员工薪酬相比)增加到2021年40:1(2020年38:1),由于2021年执行的政策变化,增加了薪酬。ASML打算向公司内所有职位级别的员工提供有竞争力的薪酬。在每一级,薪酬应反映角色的责任。因此,从一个级别到另一个级别的薪酬积累应该是循序渐进的,并与不断增加的责任相一致,也应遵循市场惯例。在最高层,随着责任最终从部门层面上升到整个公司层面,步骤逐渐变得更大。监事会考虑目前的组成和40:1的整体薪酬比率。E考虑到公司目前的规模和组织结构,这是公平的。
1.这一比率包括首席执行官在2021年期间的总薪酬(包括所有薪酬组成部分)4,820,000欧元,与所有员工的平均薪酬相比。所有雇员的平均薪酬是用全时当值平均工资雇员数(工资和薪金+社会保障费用+养老金和退休费用+股份支付)/平均工资雇员数=34.392亿欧元/28,223欧元=12.2万欧元计算的。这一比率尚未准备好符合美国证券交易委员会规定的薪酬比率披露要求。
薪酬监督委员会
在薪酬报告的这一部分,我们概述了2021年4月29日股东大会通过的2021年监事会薪酬政策,该政策自2021年4月1日起生效。2020年4月22日股东大会通过的监事会薪酬政策适用于2020年薪酬报告披露的2021年头几个月。它还提供了监事会2021年薪酬政策执行情况和监事会成员2021年实际薪酬的详细情况。2021年监事会薪酬政策可以在我们网站的治理部分找到。
薪酬政策
薪酬目标和原则
2021年监事会薪酬政策旨在使ASML能够吸引和留住合格的监事会成员,这些成员共同组成一个多元化和平衡的监事会,具有适当水平的技能、能力和经验,以适当监督(执行)ASML的战略,该战略的重点是为所有利益攸关方创造长期价值。
2021年监事会薪酬政策建立在以下原则基础上:
•透明-薪酬政策及其执行是明确和实际的
•调整-薪酬政策以市场惯例为基准
•合规-ASML采用良好的公司治理的最高标准
•简单-薪酬政策及其执行尽可能简单,所有利益相关者都很容易理解
•公平--薪酬应反映监事会成员所花费的时间和职责
•独立--监事会成员的薪酬不得取决于公司的业绩。
参考群体和市场定位
与相关的参考市场相比,监事会的薪酬应该具有竞争力。这个市场是使用一个参考公司集团来定义的,该公司集团的两级董事会结构包括在阿姆斯特丹泛欧交易所指数中。为了确定在这一群体中的定位,企业价值、收入和员工数量都被考虑在内。
监事会薪酬政策概要
下表概述并说明了《2021年监事会薪酬政策》的内容。该表包括在2021年年度股东大会上批准的薪酬政策修订所产生的经修订的监事会和委员会成员费用。
2021年薪酬监督委员会
根据过去五年发生的会计费用向监事会成员支付的薪酬概览(金额以千欧元为单位):
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| 会费2021 | 委员会费用2021 | 津贴20211 | 固定比例与可变比例2021 | 2021年薪酬总额 | 2020年薪酬总额 | 2019年薪酬总额 | 2018年薪酬总额 | 2017年薪酬总额 |
G.J. Kleisterlee | 125 | 51 | 2 | 100:0 | 178 | 157 | 154 | 138 | 135 |
A.P.阿里斯 | 87 | 39 | 1 | 100:0 | 127 | 95 | 98 | 80 | 80 |
B.M.CONIX | 50 | 12 | 1 | 100:0 | 63 | — | — | — | — |
D.M.杜尔坎 | 74 | 27 | 11 | 100:0 | 112 | 57 | — | — | — |
华盛顿特区东区 | 74 | 17 | 2 | 100:0 | 93 | 59 | — | — | — |
T.L.凯利 | 74 | 27 | 6 | 100:0 | 107 | 88 | 101 | 60 | — |
R.D.施瓦尔布 | 74 | 38 | 1 | 100:0 | 113 | 104 | 101 | 88 | 86 |
J.M.C.斯托克 | 74 | 28 | 11 | 100:0 | 113 | 100 | 118 | 100 | 100 |
总计 | 632 | 239 | 35 | 100:0 | 906 | 660 | 572 | 466 | 401 |
1.津贴包括固定费用津贴和洲际会议津贴。
在过去五年中,没有向现任和前任监事会成员发放浮动工资。根据《2021年监事会薪酬政策》规定的薪酬原则,监事会的薪酬与ASML的业绩没有直接联系。
薪酬前监事会
2021年、2020年和2019年授予前监事的薪酬概览(金额以千欧元计):
| | | | | | | | | | | | | | | | | | | | | | | |
| 会费2021 | 委员会费用2021 | 津贴20211 | 固定比例与可变比例2021 | 2021年薪酬总额 | 2020年薪酬总额 | 2019年薪酬总额 |
地方检察官格罗斯 | 26 | 10 | — | 100:0 | 36 | 117 | 133 |
C.M.S.斯密茨·努斯特林 | 23 | 8 | — | 100:0 | 31 | 95 | 91 |
W.H. Ziebart | — | — | — | — | — | 30 | 101 |
总计 | 49 | 18 | — | | 67 | 242 | 325 |
1.津贴包括固定费用津贴和洲际会议津贴。
其他信息
总薪酬
管理委员会成员的年薪总额2021年,包括前成员在内的监事会成员和监事会成员的金额为2,320万欧元(2020年:2,260万欧元)。
其他安排
由于管理委员会和监事会的所有成员由ASML Holding N.V.直接支付,其财务由ASML合并的子公司或其他公司没有发放和分配任何薪酬。
没有向管理委员会或监督委员会的成员发放(个人)贷款,也没有向管理委员会和监督委员会的任何成员提供担保或类似的担保。
2021年没有向管理委员会和监事会成员发放遣散费,也没有收回可变薪酬。
偏差
2021年,管理委员会和监事会2021年薪酬政策实施的决策过程未发生偏差,2021年薪酬政策未发生暂时性偏差。
股东投票权
2021年年度股东大会以93.86%的票数通过了2021年管理委员会薪酬政策。2021年年度股东大会也以98.90%的多数票通过了2021年监事会薪酬政策。
2020财政年度薪酬报告已提交给2021年年度股东大会进行咨询投票。85.07%的人投了赞成票。在薪酬委员会主席在本薪酬报告开头的信息中,我们讨论了我们如何对收到的关于管理层薪酬的反馈做出回应。
这份薪酬报告将提交给2022年年度股东大会,以根据荷兰法律进行咨询表决,同时还将提交一份修订《2021年管理委员会薪酬政策》的提案,详情请参阅《展望2022年》一节。
独立注册会计师事务所报告
对股东和监事会
ASML Holding N.V.:
关于合并财务报表与财务报告内部控制的意见
我们已审计所附ASML Holding N.V.及其附属公司(“贵公司”)于二零二一年十二月三十一日及二零二零年十二月三十一日的综合资产负债表、截至二零二一年十二月三十一日止三年内各年度的相关综合营运报表、全面收益、股东权益及现金流量,以及相关附注(统称为“综合财务报表”)。我们还根据特雷德韦委员会赞助组织委员会发布的《内部控制-综合框架(2013)》中确立的标准,对公司截至2021年12月31日的财务报告内部控制进行了审计。
我们认为,上述综合财务报表在所有重要方面都公平地反映了公司截至2021年12月31日和2020年12月31日的财务状况,以及截至2021年12月31日的三年期间每年的经营结果和现金流量,符合美国公认会计原则。此外,我们认为,根据特雷德韦委员会赞助组织委员会发布的《内部控制-综合框架(2013)》中确立的标准,截至2021年12月31日,公司在所有实质性方面保持了对财务报告的有效内部控制。
意见基础
本公司管理层负责编制这些综合财务报表,对财务报告保持有效的内部控制,并对财务报告内部控制的有效性进行评估,包括在随附的管理层关于财务报告内部控制的报告中。我们的责任是就公司的合并财务报表发表意见,并根据我们的审计对公司的财务报告内部控制发表意见。我们是一家在美国上市公司会计监督委员会(PCAOB)注册的公共会计师事务所,根据美国联邦证券法以及美国证券交易委员会和PCAOB的适用规则和法规,我们必须与公司保持独立。
我们是按照PCAOB的标准进行审计的。这些准则要求我们计划和执行审计,以获得合理的保证,以确定合并财务报表是否没有重大错报,无论是由于错误还是欺诈,以及是否在所有重大方面保持了对财务报告的有效内部控制。
我们对合并财务报表的审计包括执行评估合并财务报表重大错报风险的程序,无论是由于错误还是欺诈,以及执行应对这些风险的程序。这些程序包括在测试的基础上审查关于合并财务报表中的金额和披露的证据。我们的审计还包括评价管理层使用的会计原则和作出的重大估计,以及评价合并财务报表的整体列报。我们对财务报告的内部控制的审计包括了解财务报告的内部控制,评估存在重大弱点的风险,以及根据评估的风险测试和评估内部控制的设计和运作有效性。我们的审计还包括执行我们认为在这种情况下必要的其他程序。我们相信,我们的审计为我们的意见提供了合理的基础。
财务报告内部控制的定义及局限性
公司对财务报告的内部控制是一个程序,旨在根据公认的会计原则,为财务报告的可靠性和为外部目的编制财务报表提供合理保证。公司对财务报告的内部控制包括下列政策和程序:(1)关于保存合理详细、准确和公平地反映公司资产的交易和处置的记录;(2)提供合理的保证,即交易被记录为必要的,以便按照公认的会计原则编制财务报表,公司的收入和支出仅根据公司管理层和董事的授权进行;(三)提供合理保证,防止或及时发现可能对财务报表产生重大影响的未经授权收购、使用或处置公司资产。
由于其固有的局限性,财务报告的内部控制可能无法防止或发现错误陈述。此外,对未来期间进行任何有效性评估的预测都有可能因条件的变化而出现控制不足的风险,或者政策或程序的遵守程度可能会恶化。
关键审计事项
下文所述的关键审计事项是指向审计委员会传达或要求传达给审计委员会的当期综合财务报表审计所产生的事项:(1)涉及对综合财务报表具有重大意义的账目或披露;(2)涉及我们特别具有挑战性的、主观的或复杂的判断。关键审计事项的沟通不会以任何方式改变我们对合并的意见
财务报表作为一个整体,我们不会通过传达下面的关键审计事项,就关键审计事项或与之相关的账目或披露提供单独的意见。
收入确认-明确不同的履约义务和分配总合同对价
如合并财务报表附注2所披露,截至2021年12月31日的一年,系统净销售额为136.528亿欧元。系统销售通常是根据批量采购协议(VPA)与客户签订的。这些VPA包含多项履约义务,例如交付货物、安装、保修和培训。一旦确定了这些履约义务,合同的全部对价,包括折扣、免费提供的商品或服务以及可用于未来购买的积分,都将分配给履约义务。
我们将收入确认,特别是确定增值税中的履约义务,以及合同总对价的分配,包括折扣、提供可用于未来购买的免费商品或服务和积分,作为一项关键审计事项,因为它本身具有判断能力,而且很复杂。因此,评估公司对已确定的履约义务的判断,特别是对要交付的系统数量的估计,以及将合同总对价分配给这些履约义务,需要高度的审计师判断力。
以下是我们为处理此关键审计事项而执行的主要程序。我们评估了与关键审计事项有关的若干内部控制的设计并测试了其运作有效性。这包括与VPA评估有关的控制,以识别履约责任、将总合同代价分配至该等履约责任,以及正确应用于个别销售交易。我们通过检查选定的VPAs及相关文件、向本公司相关营运职能部门查询及进行敏感度分析,评估履约责任的识别及总合约代价的分配,以评估将交付的系统估计数量对分配的影响。此外,我们测试了VPAs下的一系列已确认销售交易,并对前期估计进行了追溯审查,以评估管理层估计交付系统数量的能力。最后,我们检查了公司用于将合同对价分配至已识别履约责任的模型的准确性。
毕马威会计师事务所
我们自2015年起担任公司的审计师。
荷兰阿姆斯特尔文
2022年2月9日
合并业务报表
| | | | | | | | | | | | | | |
截至2013年12月31日的年度(欧元,单位为百万,不包括每股数据) | 备注 | 2019 | 2020 | 2021 |
系统净销售额 | | 8,996.2 | | 10,316.6 | | 13,652.8 | |
净服务和现场选项销售 | | 2,823.8 | | 3,661.9 | | 4,958.2 | |
总净销售额 | 2, 3 | 11,820.0 | | 13,978.5 | | 18,611.0 | |
| | | | |
系统销售成本 | | (4,676.2) | | (5,169.3) | | (6,482.9) | |
服务成本和现场选项销售 | | (1,864.0) | | (2,012.0) | | (2,319.1) | |
销售总成本1 | | (6,540.2) | | (7,181.3) | | (8,802.0) | |
| | | | |
毛利 | | 5,279.8 | | 6,797.2 | | 9,809.0 | |
| | | | |
研发成本 | | (1,968.5) | | (2,200.8) | | (2,547.0) | |
销售、一般和行政费用 | | (520.5) | | (544.9) | | (725.6) | |
其他收入 | 10 | — | | — | | 213.7 | |
营业收入 | | 2,790.8 | | 4,051.5 | | 6,750.1 | |
| | | | |
利息和其他,净额 | 16 | (25.0) | | (34.9) | | (44.6) | |
所得税前收入 | | 2,765.8 | | 4,016.6 | | 6,705.5 | |
| | | | |
所得税费用 | 21 | (191.7) | | (551.5) | | (1,021.4) | |
所得税后所得 | | 2,574.1 | | 3,465.1 | | 5,684.1 | |
| | | | |
权益法投资的利润 | 9 | 18.2 | | 88.6 | | 199.1 | |
净收入 | | 2,592.3 | | 3,553.7 | | 5,883.2 | |
| | | | |
每股普通股基本净收益 | 23 | | 6.16 | | 8.49 | | 14.36 | |
每股普通股摊薄净收益 | 23 | | 6.15 | | 8.48 | | 14.34 | |
计算每股金额所用之普通股数目: | | | | |
基本信息 | 23 | 420.8 | | 418.3 | | 409.8 | |
稀释 | 23 | 421.6 | | 419.1 | | 410.4 | |
1.销售成本包括与关联方的金额,1,855.2百万欧元1,457.4百万欧元和欧元1,321.82021年、2020年和2019年分别为100万人。
综合全面收益表
| | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 备注 | 2019 | 2020 | 2021 |
净收入 | | 2,592.3 | | 3,553.7 | | 5,883.2 | |
| | | | |
其他全面收入: | | | | |
权益法投资中保监处的比例份额 | | (19.8) | | (1.3) | | 22.0 | |
| | | | |
外币折算,税后净额: | | | | |
外币兑换收益(损失)和对冲有效部分 | | 20.1 | | (73.8) | | 93.3 | |
| | | | |
金融工具,税后净额: | | | | |
衍生金融工具的损益 | 25 | | 3.2 | | (21.0) | | 16.6 | |
转账至净收入 | 25 | | (10.7) | | (2.3) | | 22.2 | |
其他综合收益,税后净额 | | (7.2) | | (98.4) | | 154.1 | |
| | | | |
综合收益总额,税后净额 | | 2,585.1 | | 3,455.3 | | 6,037.3 | |
归属于股权持有人 | | 2,585.1 | | 3,455.3 | | 6,037.3 | |
合并资产负债表
| | | | | | | | | | | | |
截至12月31日(欧元,单位为百万,不包括每股和每股数据) | 备注 | 2020 | 2021 | |
资产 | | | | |
现金和现金等价物 | 4 | | 6,049.4 | | 6,951.8 | | |
短期投资 | 4 | | 1,302.2 | | 638.5 | | |
应收账款净额 | 5 | | 1,310.3 | | 3,028.0 | | |
财务应收账款净额 | 6 | | 1,710.5 | | 1,185.6 | | |
流动纳税资产 | 21 | | 67.3 | | 42.0 | | |
合同资产 | 2 | | 119.2 | | 164.6 | | |
库存,净额 | 7 | | 4,569.4 | | 5,179.2 | | |
| | | | |
其他资产1 | 8 | | 801.7 | | 1,000.5 | | |
| | | | |
流动资产总额 | | 15,930.0 | | 18,190.2 | | |
| | | | |
财务应收账款净额 | 6 | | 400.5 | | 383.0 | | |
递延税项资产 | 21 | | 671.5 | | 1,098.7 | | |
| | | | |
其他资产2 | 8 | | 951.5 | | 1,011.4 | | |
权益法投资 | 9 | | 820.7 | | 892.5 | | |
商誉 | 11 | | 4,629.1 | | 4,555.6 | | |
其他无形资产,净额 | 12 | | 1,048.9 | | 952.1 | | |
财产、厂房和设备、净值 | 13 | | 2,470.3 | | 2,982.7 | | |
使用权资产--经营性 | 14 | | 180.1 | | 159.5 | | |
使用权资产-财务 3 | 14 | | 164.8 | | 5.3 | | |
非流动资产总额 | | 11,337.4 | | 12,040.8 | | |
| | | | |
总资产 | | 27,267.4 | | 30,231.0 | | |
| | | | |
负债和股东权益 | | | | |
应付帐款4 | | 1,377.9 | | 2,116.3 | | |
应计负债和其他负债 | 15 | | 1,146.0 | | 1,435.5 | | |
流动税项负债 | 21 | | 110.0 | | 301.9 | | |
长期债务的当期部分 | 16 | | 15.4 | | 509.1 | | |
合同责任 | 2 | | 3,954.2 | | 7,935.2 | | |
| | | | |
流动负债总额 | | 6,603.5 | | 12,298.0 | | |
| | | | |
长期债务 | 16 | | 4,662.8 | | 4,075.0 | | |
递延及其他所得税负债 | 21 | | 238.3 | | 240.6 | | |
合同责任 | 2 | | 1,639.9 | | 3,225.7 | | |
应计负债和其他负债 | 15 | | 257.5 | | 251.1 | | |
非流动负债总额 | | 6,798.5 | | 7,792.4 | | |
| | | | |
总负债 | | 13,402.0 | | 20,090.4 | | |
| | | | |
普通股;欧元0.09名义价值; | | | | |
699,999,0002021年12月31日授权的股份;(2020年: 699,999,000) | | | | |
402,601,613于2021年12月31日已发行且未偿还;(2020年: 416,514,034) | | | | |
已发行及已发行股份 | | 37.6 | | 36.5 | | |
股票溢价 | | 3,780.1 | | 3,876.1 | | |
按成本价计算的库存股 | | (863.2) | | (2,422.8) | | |
留存收益 | | 10,731.5 | | 8,317.3 | | |
累计其他综合收益 | | 179.4 | | 333.5 | | |
股东权益总额 | 22 | | 13,865.4 | | 10,140.6 | | |
| | | | |
总负债和股东权益 | | 27,267.4 | | 30,231.0 | | |
1.其他资产-流动包括与关联方的金额,欧元288.51000万欧元和欧元265.82021年12月31日和2020年12月31日分别为100万人。
2.其他资产-非流动资产包括与关联方的金额818.71000万欧元和欧元668.02021年12月31日和2020年12月31日分别为100万人。
3.使用权资产-财务包括与关联方的金额欧元000万和欧元149.92021年12月31日和2020年12月31日分别为100万人。
4.应付账款包括与关联方的金额,482.7百万欧元和欧元110.92021年12月31日和2020年12月31日分别为100万人。
合并股东权益报表
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| | 发行和流通股票 | 股票溢价 | 按成本计算的库藏股 | 留存收益 | 保监处1 | 总计 |
(百万欧元) | 备注 | 数 | 金额 |
2019年1月1日的余额 | | 421.1 | | 38.6 | | 3,741.3 | | (1,621.8) | | 9,197.9 | | 285.0 | | 11,641.0 | |
全面收入的构成部分: | | | | | | | | |
净收入 | | — | | — | | — | | — | | 2,592.3 | | — | | 2,592.3 | |
权益法投资中OCI的份额 | | — | | — | | — | | — | | — | | (19.8) | | (19.8) | |
外币折算 | | — | | — | | — | | — | | — | | 20.1 | | 20.1 | |
金融工具的损益 | 25 | — | | — | | — | | — | | — | | (7.5) | | (7.5) | |
综合收益总额 | | — | | — | | — | | — | | 2,592.3 | | (7.2) | | 2,585.1 | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
购买库藏股 | 22 | | (1.9) | | — | | — | | (410.0) | | — | | — | | (410.0) | |
库存股的注销 | 22 | | — | | (0.5) | | — | | 902.3 | | (901.8) | | — | | — | |
基于股份的支付 | 20 | | — | | — | | 74.6 | | — | | — | | — | | 74.6 | |
发行股份 | 20 | | 0.6 | | 0.1 | | (43.9) | | 109.9 | | (38.9) | | — | | 27.2 | |
已支付的股息 | 22 | | — | | — | | — | | — | | (1,325.7) | | — | | (1,325.7) | |
| | | | | | | | |
2019年12月31日的余额 | | 419.8 | | 38.2 | | 3,772.0 | | (1,019.6) | | 9,523.8 | | 277.8 | | 12,592.2 | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
全面收入的构成部分: | | | | | | | | |
净收入 | | — | | — | | — | | — | | 3,553.7 | | — | | 3,553.7 | |
权益法投资中OCI的份额 | | — | | — | | — | | — | | — | | (1.3) | | (1.3) | |
外币折算 | | — | | — | | — | | — | | — | | (73.8) | | (73.8) | |
金融工具的损益 | 25 | | — | | — | | — | | — | | — | | (23.3) | | (23.3) | |
综合收益总额 | | — | | — | | — | | — | | 3,553.7 | | (98.4) | | 3,455.3 | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
购买库藏股 | 22 | | (3.9) | | — | | — | | (1,207.5) | | — | | — | | (1,207.5) | |
库存股的注销 | 22 | | — | | (0.7) | | — | | 1,262.3 | | (1,261.6) | | — | | — | |
基于股份的支付 | 20 | | — | | — | | 53.9 | | — | | — | | — | | 53.9 | |
发行股份 | 20 | | 0.6 | | 0.1 | | (45.8) | | 101.6 | | (18.0) | | — | | 37.9 | |
已支付的股息 | 22 | | — | | — | | — | | — | | (1,066.4) | | — | | (1,066.4) | |
| | | | | | | | |
2020年12月31日余额 | | 416.5 | | 37.6 | | 3,780.1 | | (863.2) | | 10,731.5 | | 179.4 | | 13,865.4 | |
| | | | | | | | |
全面收入的构成部分: | | | | | | | | |
净收入 | | — | | — | | — | | — | | 5,883.2 | | — | | 5,883.2 | |
权益法投资中OCI的份额 | | — | | — | | — | | — | | — | | 22.0 | | 22.0 | |
外币折算 | | — | | — | | — | | — | | — | | 93.3 | | 93.3 | |
金融工具的损益 | 25 | | — | | — | | — | | — | | — | | 38.8 | | 38.8 | |
综合收益总额 | | — | | — | | — | | — | | 5,883.2 | | 154.1 | | 6,037.3 | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
购买库藏股 | 22 | | (14.4) | | — | | — | | (8,560.3) | | — | | — | | (8,560.3) | |
库存股的注销 | 22 | | — | | (1.2) | | — | | 6,926.6 | | (6,925.4) | | — | | — | |
基于股份的支付 | 20 | | — | | — | | 117.5 | | — | | — | | — | | 117.5 | |
发行股份 | 20 | | 0.5 | | 0.1 | | (21.5) | | 74.1 | | (3.7) | | — | | 49.0 | |
已支付的股息 | 22 | | — | | — | | — | | — | | (1,368.3) | | — | | (1,368.3) | |
| | | | | | | | |
2021年12月31日的余额 | | 402.6 | | 36.5 | | 3,876.1 | | (2,422.8) | | 8,317.3 | | 333.5 | | 10,140.6 | |
1.截至2021年12月31日,累计OCI包括欧元(4.9)与我们按比例分占权益法投资的其他全面收益相关的百万损失(2020年:欧元(26.9)百万损失; 2019年:欧元(25.6)百万损失)、欧元321.9与外币兑换收益相关的百万美元(2020年:欧元228.6百万收益; 2019年:欧元302.4百万收益)和欧元16.5与金融工具未实现收益相关的百万美元(2020年:欧元(22.3)百万损失; 2019年:欧元1.0百万收益)。
合并现金流量表
| | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 备注 | 2019 | 2020 | 2021 |
经营活动的现金流 | | | |
|
净收入 | | 2,592.3 | | 3,553.7 | | 5,883.2 | |
将净收入与经营活动的现金流量净额进行调整: | | | | |
折旧及摊销 1 | 12, 13, 14 | 448.5 | | 490.8 | | 471.0 | |
处置损失和损失(收益) | 12, 13 | 7.8 | | 5.5 | | (15.9) | |
以股份为基础之补偿开支 | 18, 20 | 74.6 | | 53.9 | | 117.5 | |
出售子公司收益 | 10 | | — | | — | | (213.7) | |
库存储备 | 7 | | 221.5 | | 192.4 | | 180.7 | |
**递延税项支出(优惠) | 21 | | (236.8) | | (211.3) | | (419.6) | |
权益法投资 2 | 9 | | 56.9 | | 11.0 | | (49.8) | |
资产和负债变化: | | | | |
应收账款,净额 | 5 | | (255.0) | | 507.5 | | (1,754.9) | |
融资应收账款,净额 | 6 | | (95.3) | | (1,125.4) | | 542.3 | |
库存 | 7 | | (404.7) | | (706.7) | | (483.2) | |
其他资产 | 8 | | (199.1) | | (75.1) | | (222.2) | |
应计负债和其他负债 | 15 | | 82.1 | | 47.5 | | 347.6 | |
应付账款 | | (12.1) | | 334.3 | | 718.6 | |
即期税项资产及负债 | 21 | | (202.6) | | 131.5 | | 214.4 | |
合约资产及负债 | 2 | | 1,198.3 | | 1,418.0 | | 5,529.8 | |
经营活动提供的净现金 | | 3,276.4 | | 4,627.6 | | 10,845.8 | |
| | | | |
投资活动产生的现金流 | | | | |
购置房产、厂房和设备3 | 13 | | (766.6) | | (962.0) | | (900.7) | |
购买无形资产 | 12 | | (119.3) | | (38.8) | | (39.6) | |
购买短期投资 | 4 | | (1,291.5) | | (1,475.5) | | (1,162.7) | |
短期投资到期日 | 4 | | 1,019.0 | | 1,359.1 | | 1,826.4 | |
| | | | |
发放的贷款和其他投资 | 8 | | 0.9 | | (12.2) | | (124.4) | |
出售附属公司所得款项(已处置现金净额) | 10 | | — | | — | | 329.0 | |
| | | | |
收购子公司(扣除收购现金后的净额) | 10 | | — | | (222.8) | | — | |
用于投资活动的现金净额 | | (1,157.5) | | (1,352.2) | | (72.0) | |
| | | | |
融资活动产生的现金流 | | | | |
已支付的股息 | 22 | | (1,325.7) | | (1,066.4) | | (1,368.3) | |
购买库藏股 | 22 | | (410.0) | | (1,207.5) | | (8,560.3) | |
发行股票所得净收益 | 20 | | 27.2 | | 37.9 | | 49.0 | |
发行票据的净收益,扣除发行成本 | 16 | | — | | 1,486.3 | | — | |
| | | | |
偿还债务和融资租赁债务 | 14, 16 | (3.8) | | (3.3) | | (12.1) | |
用于融资活动的现金净额 | | (1,712.3) | | (753.0) | | (9,891.7) | |
| | | | |
净现金流 | | 406.6 | | 2,522.4 | | 882.1 | |
汇率变动对现金的影响 | | 4.6 | | (5.3) | | 20.3 | |
现金及现金等价物净增(减) | | 411.2 | | 2,517.1 | | 902.4 | |
年初现金及现金等价物 | 4 | | 3,121.1 | | 3,532.3 | | 6,049.4 | |
年终现金及现金等价物 | 4 | | 3,532.3 | | 6,049.4 | | 6,951.8 | |
| | | | |
现金流量信息的补充披露: | | | | |
不包括在投资活动中的财产、厂房和设备的未付部分 | | 85.9 | | (46.9) | | 29.3 | |
收到的利息 | | 38.9 | | 32.1 | | 36.6 | |
支付的利息 | | (59.9) | | (64.1) | | (83.0) | |
已缴纳所得税,扣除退款后的净额 | | (678.7) | | (650.2) | | (1,235.0) | |
1.折旧和摊销包括财产、厂房和设备的折旧、无形资产的摊销、使用权资产的折旧、承销佣金的摊销以及与债券和信贷安排有关的贴现。
2.权益法投资包括权益法投资收到的利润和股息,以及如附注26所披露的2019年和2020年研发和供应链支持资金的资本化。关联方和可变利益主体。收到的股息是2021年欧元的现金流入168.0百万欧元(2020年:欧元128.12019年百万欧元:欧元99.9百万)。
3.2021年,一笔欧元69.2百万欧元(2020年:欧元203.72019年百万欧元:欧元184.1已计入物业、厂房及设备的购买,该等物业、厂房及设备与我们的权益法投资所提供的设施及工具资金有关,而该等资金最初被确认为其他资产的一部分。
合并财务报表附注
1. 一般信息/一般会计政策摘要
我们是芯片行业的全球创新领先者。我们为芯片制造商提供硬件、软件和服务,以尽可能高的保真度在硅上大规模生产图案,我们称之为整体光刻。我们的所作所为增加了芯片的价值,降低了成本,这将推动我们所有人走向一个更智能、更互联的世界。总部设在欧洲最大的科技中心--荷兰的Brainport Eindhoven地区,我们是一个全球团队,拥有超过32,000FTE, 122不同国籍, 3各大洲。ASML的主要业务在欧洲、北美和亚洲。
我们的股份以注册股份的形式在阿姆斯特丹泛欧交易所和纳斯达克上市交易。我们普通股的主要交易市场为阿姆斯特丹泛欧交易所。
准备的基础
除另有说明外,所附综合财务报表以百万欧元列报。
所附综合财务报表乃根据美国公认会计原则编制。
预算的使用
根据美国公认会计原则编制我们的综合财务报表时,管理层需要作出估计和假设,以影响资产负债表日的资产和负债额、或有资产和负债的披露,以及报告期内净销售额和成本的报告额。对我们估计和假设的投入考虑了经济影响,包括新冠肺炎对我们关键会计估计的影响。我们认为,关键的会计估计和假设是适当的。反洗钱法将继续监测包括“新冠肺炎”在内的经济影响的影响,并将其纳入会计估计数。实际结果可能与这些估计不同。我们不断评估我们的估计,我们根据历史经验和我们认为在这种情况下合理的各种其他假设来进行估计。如果这些假设被证明是不正确的,实际结果可能与这些估计不同。如果实际结果与这些估计之间存在重大差异,我们未来的结果可能会受到实质性的不利影响。我们认为,下文所述的会计政策要求我们在编制综合财务报表时作出重大判断和估计。我们最关键的会计估计包括:
•收入确认,包括租赁会计
•库存储备
•未确认的税收优惠
•或有事项及诉讼
•长期资产减值准备的评估
合并原则
综合财务报表包括ASML Holding N.V.及其所有附属公司的财务报表。子公司是ASML控制财务和经营活动的所有实体,通常伴随着超过 50.0尚未行使的投票权的%。子公司自ASML获得控制权之日起完全合并。该公司利用一个季度的滞后时间合并柏林GLAS,以便及时准备合并的财务信息。除在截至2021年12月31日的财政年度确认的柏林格拉斯非核心业务的剥离外,在这段滞后期内并无发生对综合财务报表有重大影响的重大插入性事件。所有公司间交易、余额和与子公司交易的未实现结果都将被冲销。我们还评估我们是否是任何可变利益实体的主要受益者,因此是否会合并。
外币折算
功能货币为欧元区以外之附属公司之财务资料乃以当地货币组合或欧元作为功能货币计量。这些功能货币不同于欧元的外国子公司的财务报表在编制ASML的合并财务报表时换算为欧元。资产和负债按各资产负债表日的汇率换算为欧元,收入和成本按同期平均汇率换算为欧元。所产生之换算调整直接计入股东权益。
采用新的美国公认会计准则会计声明
于2021年期间,并无采用对我们的合并财务报表有重大影响的新的美国公认会计原则会计声明。
发布但未采用的新的美国公认会计准则会计公告
截至2021年12月31日止年度,并无新发布的美国公认会计原则会计声明尚未采纳,预计将对我们的合并财务报表产生重大影响。
2. 与客户签订合同的收入
会计政策
我们根据与客户的合同中指定的对价来衡量收入,并根据任何重要的融资组成部分进行调整,不包括代表第三方收取的任何税款。当我们通过将商品或服务的控制权转移给客户来履行履行义务时,我们就会确认收入。我们向客户收取运费和手续费,并将其确认为收入。
根据合同,我们通过预订生产时段或在交付系统时获得系统付款的权利,剩余部分在我们的系统最终验收后获得。除非另有说明,否则我们的服务和现场选项的付款权利在服务发货或服务完成时发生。付款通常应在上述事件发生后15-45天内支付。我们的合同通常包括取消罚款,以提供经济保护,免受客户取消的风险。与销售有关的成本被确认为销售成本。
我们的收入来自销售半导体行业的集成图形解决方案,主要包括系统、系统相关选项和升级、其他整体光刻解决方案和客户服务。我们净销售额的主要部分来自与我们的客户签订的批量购买协议,这些协议具有多种性能义务,主要包括销售我们的系统、系统相关选件、安装、培训以及延长和增强保修。在我们的批量采购协议中,我们在正常的销售谈判过程中向客户提供折扣。作为这些批量购买协议的一部分,我们还可能提供免费的商品或服务以及可用于未来购买的积分。有时,系统以及相关的延长和增强保修、安装和培训服务是单独订购的。我们的销售协议没有包括退货的权利,除了不符合约定的规格以外的任何理由。
对于捆绑包,如果产品或服务可以与捆绑包中的其他项目分开识别,并且如果客户可以单独受益或利用客户随时可用的其他资源,则我们将个别商品和服务作为单独和不同的履行义务,包括免费或折扣的商品或服务。
我们为履行义务支付的对价通常是固定的。然而,我们与客户签订的大多数批量采购协议都包含一些可变的考虑因素,通常取决于客户订购的系统的最终数量或系统性能。根据与客户的沟通,在合同开始时为每项履约义务估计可变的对价,以了解他们的要求和路线图。随后每季度更新一次,使用期望值方法或最可能金额方法,无论哪种方法被确定为最能预测从客户那里收集的对价。可变对价只有在被认为可能不会发生重大收入逆转的情况下才包括在交易价格中。
在签订批量采购协议的某些情况下,免费商品或服务是直接提供的,或通过可用于未来合同的凭证提供的。合约代价将分配至该等履约责任,并于控制权转移时根据所提供货品或服务的性质确认收益。
我们的一些合同要求我们的客户为发货的系统支付首付。由于支付对价和将系统转移给客户的时间差异是由融资以外的原因引起的,因此我们没有记录首付的重大融资部分。
合同的总对价在合同中所有不同的履约义务之间根据其独立的销售价格进行分配。如果可能,独立销售价格是根据其他直接可见的独立销售确定的。然而,对于我们的大部分绩效义务来说,这些都是不可用的。如果没有直接可观察到的证据,独立销售价格将使用调整后的市场评估方法确定,这需要判断。
对购买承诺之外购买商品或服务的选项进行评估,以确定它们是否向客户提供了如果没有签订本合同就不会获得的物质权利。以独立销售价格折扣价购买额外商品或服务的每一种选择权都被视为一种实质性权利。如果确定客户将根据可能性进行调整后行使购买选择权,则从独立销售价格提供的折扣将从合同中其他商品和服务的对价中分配。收入将根据相关商品或服务的性质予以确认。如果后来确定客户不会行使购买选择权,或者选择权到期,收入将被确认。
有时候,我们会根据客户的要求,为一个系统向客户开具发票,该系统已经准备好交付,但直到稍后才交付给客户。控制权转移仅在有实质性理由作出安排、系统被单独识别为属于客户、货物已获客户接受并准备好交付,且我们没有能力指导系统的使用时才被确定为发生。
我们从出租人协议产生收入,当租赁于租赁开始时符合以下任何标准时,我们将其分类为销售型租赁:
•租赁期届满时,租赁将标的资产的所有权转移给承租人;
•租赁授予承租人购买相关资产的选择权,承租人合理确定将行使该选择权;
•租赁期为相关资产剩余经济年期的大部分。但是,如果开始日期是在相关资产的经济寿命结束时或接近结束时,则不得将该标准用于租赁分类;
•租赁付款额与承租人担保的任何剩余价值之总和的现值,但尚未反映在租赁付款额中的剩余价值等于或超过相关资产的绝大部分公允价值;或
•相关资产属于特殊性质,预期于租期结束时对出租人并无替代用途。
对于销售型租赁而言,资产所有权的几乎所有风险和回报都转移给承租人,收入在租赁期开始时确认。应收融资毛额与最低租赁付款现值之间的差额最初确认为未赚取利息,并作为应收融资毛额的减项列报。利息收入按实际利息法在租赁合同期限内的综合经营报表中确认。
非销售型租赁属于经营性租赁安排。如果我们向客户提供了运营租赁安排,系统将在租赁开始时包括在物业、厂房和设备中。经营租赁安排的收入在租赁合同期限内以直线方式在综合经营报表中确认。
| | | | | |
商品或服务 | 履行履约义务的性质、时间和重要付款条件 |
新系统(已建立的技术) | 新系统的销售包括I-LINE、KRF、ARF、ARFI和EUV相关系统,以及与基本系统一起订购的相关工厂选项,以及计量和检测系统。发货前,我们的大多数系统都会在洁净室设施中接受工厂验收测试(FAT),有效地复制客户现场的运行条件,以验证系统是否满足其标准规格以及与客户商定的任何其他技术和性能标准。只有在满足所有合同规格或放弃与商定规格的差异并收到客户签字以供交付后,系统才会发货。在客户现场安装后,通过现场验收测试(SAT)重新测试每个系统的性能。我们从未在客户所在地成功完成系统的安装;因此,FAT的接受度被认为是在SAT(等于或优于FAT)成功接受客户的历史上得到验证的成熟技术。
新系统的销售不符合长期收入确认的要求,因为我们的客户没有同时获得和消费我们的业绩提供的好处,或者在我们生产过程的任何阶段控制资产,以及这些系统被认为具有替代用途。
|
在交付系统时,将发生对正在进行FAT的系统的控制权的转移,并确认与该系统相关的收入。
|
未经历FAT的系统的控制权转移以及与该系统相关的收入确认将在安装完成后客户在SAT接受该系统时进行。 |
| |
使用过的系统 | 我们在一般销售条款及条件中并无回购承诺,但我们偶尔会回购我们先前生产和销售的系统,以便重新出售系统并转售给其他客户。此回购决定主要受其他客户表达的市场需求所驱动。
|
旧系统的控制权转移和收入确认遵循与我们的“新系统(已建立的技术)”相同的逻辑。 |
| |
外地升级和选项(系统增强) | 现场升级和选项主要涉及为客户工厂已安装的系统交付的货物和服务。某些升级需要大量的安装工作,增强客户控制的资产,因此导致在安装期间控制权转移,使用成本发生法(使用人工小时估计)计量,因为这最好地反映了我们在转移控制权方面的义务的履行。就客户于交付时收到及消耗利益的购股权及其他升级而言,控制权转移及收益确认将于交付时发生。
|
只要我们无法可靠估计完成升级所需的总工作量,我们仅确认收入以弥补所产生的成本。保证金将在我们能够作出可靠的估计或完成升级的较早实现。 |
| |
新产品推介 | 我们销售新产品和服务,这是我们现有技术的演变。如果安装被确定为不是单独的性能,或者如果FAT上没有足够的验收历史,则该产品被确定为“新产品引入”。
新产品的引入通常是新开发的选项,用于我们的系统。在沙特德士古公司成功安装和客户接受后,才转移控制权并确认新产品引进的收入。一旦有成功安装和客户接受的既定历史,收入将在控制权转移后与其他系统和货物一致确认。 |
| |
安装 | 安装是以系统的销售价格提供的。安装被认为是不同的,因为它不会对所购买的系统进行重大修改,而且如果需要,客户或第三方可以自己执行安装。控制权的转移是在从交付到SAT的安装期间进行的,以直线为基础进行衡量,因为我们的业绩在这段时间内得到了均匀的满足。 |
| |
| | | | | |
商品或服务 | 履行履约义务的性质、时间和重要付款条件 |
保修 | 我们为我们的系统提供标准保修服务12提供必要的人工和非消耗性部件,以在这些保修期内维修我们的系统。这些标准保修不能购买,并且除了系统将按承诺执行的一般保证之外,不提供服务。因此,并无收入分配至该等标准保修。
|
我们的系统的延长及增强保修均作为一项独立的履约责任入账,控制权转移于保修期内进行,以直线法计量,因为这是一项备用责任。 |
| |
基于时间的许可证和相关服务 | 基于时间的许可涉及在一段时间内销售的软件许可和相关服务。许可证和相关服务不被认为是独立的,因为支持服务对于客户在快速变化的技术环境中继续使用软件许可证的能力是不可或缺的。控制权的转移是在许可期限内进行的,以直线为基础进行衡量,因为我们的性能在这段时间内得到了均匀的满足。在整个许可期限内分期付款。 |
| |
应用项目 | 应用项目是节点过渡和咨询项目,有时可以在批量购买协议中作为免费服务提供。衡量这一履行义务的满意度是通过一种输入法进行的,这种输入法基于所花费的劳动小时相对于估计的总劳动小时,因为这最好地描述了这类服务的控制权转移。 |
| |
服务合同 | 与我们的客户签订服务合同,以支持我们的系统在系统生命周期内的持续运营中使用,通常是以全面服务协议、有限人力协议、其他劳动协议、部件可用性或部件使用协议的形式。这些服务有一段特定的时间,通常有固定的价格。在这段时间内的控制权转移,以直线为基础进行衡量,因为这些都是随时准备好的义务。对于价格不固定的服务合同,交易价格具有基于系统性能的可变组成部分。 |
| |
计费部件和人工 | 收费人工是指通过客户的采购订单,对安装在客户工厂中的系统在运行时提供的维护服务。在收到客户签字后,对这些服务的控制权转移到客户手中。
|
可计费部件是指通过客户的采购订单安装在客户工厂中的备用部件,包括与我们的系统相关的光学部件。
|
计费部件可以是: |
•作为直接备件出售,交付时控制权转移;或 |
•作为维护服务的一部分销售,其中控制权在收到客户签字后转移。 |
| |
实地项目(搬迁) | 实地项目主要是搬迁服务。这一履行义务的满意度是通过一种输入法执行的,该输入法基于所花费的工时相对于估计的总工时,因为这最好地描述了我们服务的控制权的转移。 |
| |
On Pulse维护 | OnPulse维护服务在我们的光源系统上提供指定的时间段内。付款由每个光源系统计数的脉冲量确定,脉冲量是可变的。每月根据计数的脉搏进行登记。收入采用ASC 606-10-55-18中的实用权宜方法根据发票确认。 |
收入的分解
我们的客户合约收入按分类基准与我们的可报告分部披露一致,并增加了按技术和最终用途分列的系统销售净额。
每项技术的系统净销售额如下:
| | | | | | | | |
截至2013年12月31日的年度 | 净利润系统:销售额 以单位计 | 净利润系统:销售额 百万欧元 |
2021 | | |
EUV | 42 | | 6,284.0 | |
阿尔菲 | 81 | | 4,959.6 | |
ARF干式 | 22 | | 431.9 | |
Krf | 131 | | 1,321.3 | |
I型线 | 33 | | 142.3 | |
计量与检验 | 196 | | 513.7 | |
总计 | 505 | | 13,652.8 | |
| | |
2020 | | |
EUV | 31 | | 4,463.8 | |
阿尔菲 | 68 | | 3,917.0 | |
ARF干式 | 22 | | 427.0 | |
Krf | 103 | | 1,012.3 | |
I型线 | 34 | | 146.4 | |
计量与检验 | 137 | | 350.1 | |
总计 | 395 | | 10,316.6 | |
| | |
2019 | | |
EUV | 26 | | 2,799.7 | |
阿尔菲 | 82 | | 4,707.7 | |
ARF干式 | 22 | | 401.2 | |
Krf | 65 | | 679.7 | |
I型线 | 34 | | 133.5 | |
计量与检验 | 115 | | 274.4 | |
总计 | 344 | | 8,996.2 | |
每个最终用户的系统净销售额如下:
| | | | | | | | |
截至2013年12月31日的年度 | 净利润系统:销售额 以单位计 | 净利润系统:销售额 百万欧元 |
2021 | | |
逻辑 | 327 | | 9,588.5 | |
记忆 | 178 | | 4,064.3 | |
总计 | 505 | | 13,652.8 | |
| | |
2020 | | |
逻辑 | 260 | | 7,393.0 | |
记忆 | 135 | | 2,923.6 | |
总计 | 395 | | 10,316.6 | |
| | |
2019 | | |
逻辑 | 238 | | 6,565.3 | |
记忆 | 106 | | 2,430.9 | |
总计 | 344 | | 8,996.2 | |
合同资产和负债
合同资产涉及我们以交付的货物或服务为交换对价的权利,而这种权利是以时间流逝以外的其他条件为条件的。当应收款变为无条件时,合同资产转移到应收款。合同负债主要涉及已收到对价的剩余履约义务,如为要交付的系统收到的首付款,以及根据对价在合同中对相关履约义务的分配而从系统发货产生的递延收入。这一递延收入主要包括作为批量购买协议的一部分提供的延长和增强的保修、安装和免费商品或服务。
我们的大多数客户合同都包含资产和负债头寸。在每个报告期结束时,这些头寸以合同为基础进行净额结算,并在综合资产负债表中作为资产或负债列报。因此,在资产负债表中,合同余额可以在不同期间从净合同资产余额变为净合同负债余额。
期内合约资产及合约负债结余之重大变动如下。
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
| 合同资产 | 合同责任 | 合同资产 | 合同责任 |
年初余额 | 231.0 | | 4,286.0 | | 119.2 | | 5,594.1 | |
从合同资产转入应收款 | (192.2) | | — | | (268.2) | | — | |
终了年度确认为合同资产的收入 | 83.4 | | — | | 199.7 | | — | |
计入合同负债的已确认收入 | — | | (2,428.4) | | — | | (3,767.0) | |
估计数变动引起的累计追赶调整数引起的变动 | — | | (41.9) | | — | | 39.7 | |
已收到对价或吾等有无条件要求对价的剩余履约责任 | — | | 3,781.4 | | — | | 9,180.2 | |
| | | | |
合同资产和负债之间的转移 | (3.0) | | (3.0) | | 113.9 | | 113.9 | |
总计 | 119.2 | | 5,594.1 | | 164.6 | | 11,160.9 | |
对欧元的合同净负债增加10,996.3截至2021年12月31日,与欧元相比5,474.9截至2020年12月31日的100万美元,主要是因为确认了未来发货系统的首付款。在本年度收入中确认的累积追赶调整是由于对我们的批量采购协议中包括的系统数量、折扣和信用的更新估计。
剩余履约义务
我们的客户通常承诺通过单独的销售订单和服务合同购买系统、服务或现场选项。通常,这些销售订单的条款和条件来自与我们客户签订的批量采购协议,该协议可涵盖 5年每项承诺履约责任的收入根据通过批量采购协议商定的条款和条件进行估计。
何时确认收入主要取决于系统的发货或安装时间,以及服务项目和现场升级的执行和完成时间。所有这些都是根据合同条款和与我们客户的沟通进行估计的,包括客户设施是否准备好接受我们的商品或服务。批量采购协议可能会受到修改,影响预期收入的收入确认金额和时间。
截至2021年12月31日,剩余的履约债务总额为欧元28.9亿欧元(2020年12月31日:欧元15.1十亿)。我们估计 61%(2020年12月31日:76%)的预期收入将在下一个月内确认, 12月份。剩余的预期收入主要包括与EUV系统和我们的下一代EUV平台High-NA相关的订单,这些订单计划于2023年或更晚发货。
3. 分部披露
ASML, 一可报告分部,从事先进半导体设备系统(包括微影、计量和检测系统)的开发、生产、营销、销售、升级和服务。首席运营决策者定期审查其运营业绩,以做出资源分配决策并评估绩效。
管理报告包括新系统和旧系统的净系统销售额、每项技术的销售额和每项最终用途的销售额。有关每项技术及最终用途的销售额,见附注2客户合约收入。
新系统和旧系统的净销售额如下:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
新系统 | 8,807.1 | | 10,160.8 | | 13,446.1 | |
使用过的系统 | 189.1 | | 155.8 | | 206.7 | |
系统净销售额 | 8,996.2 | | 10,316.6 | | 13,652.8 | |
就地区报告而言,总销售净额乃按客户融资所处之地区划分。长期资产归属于该等资产所在的地理位置。 按地理区域划分的总净销售额和长期资产(包括房地产、厂房和设备,净值)如下:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 总净销售额 | 长寿资产 |
2021 | | |
日本 | 459.3 | | 5.5 | |
韩国 | 6,223.0 | | 61.2 | |
新加坡 | 126.2 | | 7.3 | |
台湾 | 7,327.9 | | 163.6 | |
中国 | 2,740.8 | | 17.0 | |
亚洲其他地区 | 1.8 | | 0.2 | |
荷兰 | 14.2 | | 2,048.1 | |
欧洲、中东和非洲地区 | 134.6 | | 124.0 | |
美国 | 1,583.2 | | 555.8 | |
总计 | 18,611.0 | | 2,982.7 | |
| | |
2020 | | |
日本 | 542.8 | | 8.3 | |
韩国 | 4,151.6 | | 34.1 | |
新加坡 | 84.9 | | 2.1 | |
台湾 | 4,731.3 | | 164.3 | |
中国 | 2,324.4 | | 17.8 | |
亚洲其他地区 | 1.6 | | 0.4 | |
荷兰 | 1.6 | | 1,625.2 | |
欧洲、中东和非洲地区 | 483.3 | | 129.2 | |
美国 | 1,657.0 | | 488.9 | |
总计 | 13,978.5 | | 2,470.3 | |
| | |
2019 | | |
日本 | 463.2 | | 6.5 | |
韩国 | 2,202.1 | | 24.1 | |
新加坡 | 120.0 | | 1.6 | |
台湾 | 5,357.0 | | 131.6 | |
中国 | 1,377.7 | | 21.3 | |
亚洲其他地区 | 2.6 | | 0.5 | |
荷兰 | 2.6 | | 1,396.0 | |
欧洲、中东和非洲地区 | 314.6 | | 4.3 | |
美国 | 1,980.2 | | 413.4 | |
总计 | 11,820.0 | | 1,999.3 | |
在2021年,2客户超过总净销售额的10%以上,总计欧元12,505.4百万美元,或67.2%,净销售额。2020年和2019年, 3客户超过总净销售额的10%以上,2020年总计欧元9,946.5百万美元,或71.2% (2019: €8,018.1百万美元,或67.8%).我们的三个最大客户(按总净销售额计算)占欧元3,855.2百万美元,或83.72021年12月31日应收账款和应收融资的%,与欧元相比2,757.0百万美元,或80.1%,截至2020年12月31日和 2,191.8百万美元,或77.2%,截至2019年12月31日。
欧元的总净销售额增加4,632.5百万美元,或33.1%,兑欧元18,611.02021年来自欧元的100万欧元13,978.52020年,全球芯片短缺、数字基础设施加速建设以及对“技术主权”的推动推动了这一数字的增长。这导致了每种技术的销售量更高。这也导致了我们的服务和现场选项业务的增长,因为客户对我们的生产率提高方案的需求提前,这些方案提供了最有效和最高效的方式来增加晶圆产量。逻辑行业在2021年继续保持强劲势头,是我们最先进的EUV系统的最大消费国。2021年,由于数据中心和智能手机需求强劲,内存需求继续增长。台湾和韩国的地区销售增幅最大,以支持扩大产能以满足全球需求。
4. 现金和现金等价物及短期投资
会计政策
现金和现金等价物主要包括高流动性投资,例如银行存款、存放在政府和政府相关机构的存款、货币市场基金和银行账户,可随时转换为已知数额的现金,但利率风险不大,且对持有投资的实体具有原始到期日。3个月或少于收购之日。
收购日原始到期日大于3月和1一年或更短时间作为短期投资列报。这些投资的公允价值变动不是临时性的,在综合经营报表中确认。短期投资的利率风险微不足道。
现金和现金等价物以及短期投资包括以下内容:
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
| | |
存放在金融机构、政府和政府相关机构的存款 | 1,545.3 | | 2,131.7 | |
货币市场基金的投资 | 3,841.9 | | 2,928.3 | |
银行账户 | 662.2 | | 1,891.8 | |
现金和现金等价物 | 6,049.4 | | 6,951.8 | |
| | |
存放在金融机构、政府和政府相关机构的存款 | 1,302.2 | | 638.5 | |
短期投资 | 1,302.2 | | 638.5 | |
现金及现金等价物及短期投资主要受经营活动提供的强劲现金净额影响,净收益增加及首期付款增加所带动,但主要被股份回购计划、派发股息及购置物业厂房设备及无形资产所抵销。
存放在金融机构、政府和政府相关机构的存款,以及对货币市场基金的投资,被S、穆迪或惠誉等信用评级机构评为投资级信用评级。我们的现金和现金等价物主要以欧元计价,并在一定程度上以美元、新台币、韩元和人民币计价。
截至2021年12月31日, 不是对现金和现金等价物的使用有限制(2020年:不是限制)。这些资产的账面价值接近其公允价值。
5. 应收账款净额
会计政策
应收账款按公允价值计量,其后按摊余成本减信贷亏损拨备计量。应收账款之账面值与公允价值相若。 我们对客户的财务状况进行持续的信用评估。我们会定期检讨是否需要为信贷损失拨备,考虑的因素包括:过往的付款经验、信贷质素、应收账款结余的账龄、预期的终身亏损,以及可能影响客户支付能力的当前经济状况。
当订立出售应收款项的安排时,我们仅在符合终止确认标准时终止确认应收款项。这些标准要求与出卖人隔离,给予买受人质押或交换应收款的权利,并合法转移对应收款的控制权。
应收账款由下列各项组成:
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
应收账款,毛额 | 1,313.1 | | 3,032.5 | |
信贷损失准备 | (2.8) | | (4.5) | |
应收账款净额 | 1,310.3 | | 3,028.0 | |
截至2021年12月31日的应收账款与2020年12月31日相比有所增加,这是由于我们保理应收账款的销售额和时机的增加。
2021年,应收账款通过保理销售现金安排总计欧元2.31000亿欧元(2020年:欧元2.2 十亿)。金额包括欧元0.51000亿欧元(2020年:欧元1.4 亿)定期贸易应收账款和欧元1.81000亿欧元(2020年:欧元0.8 亿)绝对、无条件、不可撤销的应收账款,用于2022年和2020年发货的系统的首付款23.由于资产与卖方隔离,控制权转移给买方,并且买方没有与贴现项目相关的限制,因此应收账款已被取消确认。出售应收账款的公允价值与其公允价值大致相同。现金收据在合并现金流量表中被视为经营现金流量。
6. 应收融资净额
会计政策
融资应收账款由与销售型租赁有关的应收账款组成。我们对客户的财务状况进行持续的信用评估。我们会定期检讨是否需要信贷损失拨备,考虑的因素包括:过往的付款经验、信贷质素、应收账款结余的老化情况、预期的终身亏损,以及可能影响客户支付能力的当前经济状况。
下表列出了截至2021年和2020年12月31日的应收融资款项组成:
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
应收金融账款,毛额 | 2,122.5 | | 1,570.0 | |
未得利息 | (11.5) | | (1.4) | |
财务应收账款净额 | 2,111.0 | | 1,568.6 | |
应收账款的当期部分,毛额 | 1,716.1 | | 1,187.0 | |
未赚取利息的当期部分 | (5.6) | | (1.4) | |
应收账款非流动部分,净额 | 400.5 | | 383.0 | |
截至2021年12月31日的应收融资与2020年12月31日相比减少的原因是,已发运的系统的免费使用期和评估期到期,但通过为额外的系统提供免费使用期,新的销售类型租赁部分抵消了这一减少。这些销售型租赁支持高端系统的容量提升,而高端系统是该技术早期插入生命周期的一部分。预计它们将在免费使用期结束时购买。
于租赁开始日期确认的毛利为欧元。514.22021年为百万欧元(2020年:欧元830.2百万欧元;2019年:欧元343.9百万)。
截至2021年12月31日,未来5年及以后的融资应收账款付款如下:
| | | | | |
(百万欧元) | 金额 |
2022 | 1,187.0 | |
2023 | 383.0 | |
2024 | — | |
2025 | — | |
2026 | — | |
此后 | — | |
应收金融账款,毛额 | 1,570.0 | |
在2021年、2020年和2019年,我们做到了不是Idon‘不要记录任何预期的应收账款信贷损失。截至2021年12月31日,财务应收账款既无逾期,也无减值。
7. 库存,净额
会计政策
库存成本是按照先进先出的原则计算的。我们的库存价值包括采购材料、运费、关税、生产劳动力和可变间接费用。库存的估价包括根据我们的制造和组装设施的正常能力来确定哪些固定成本应该资本化到库存中。在生产低于我们既定的正常产能期间,我们的固定间接费用、运费和浪费材料中的异常金额不会计入库存,而是在发生时计入销售成本。
根据对未来需求和市场状况的假设,存货以成本或可变现净值中的较低者进行估值。对存货的估价还要求我们为有缺陷、陈旧或过剩的存货建立准备金。我们使用我们的需求预测来制定制造计划,并利用这些信息与原材料、在制品和成品水平进行比较,以确定缺陷、过时或过剩库存的数量。
库存包括以下内容:
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
原料 | 2,073.4 | | 2,668.3 | |
在制品 | 1,805.0 | | 1,749.9 | |
成品 | 1,164.2 | | 1,179.0 | |
库存,毛数 | 5,042.6 | | 5,597.2 | |
库存储备 | (473.2) | | (418.0) | |
库存,净额 | 4,569.4 | | 5,179.2 | |
这个 与2020年相比,2021年库存增加是由客户需求增加、我们最新技术成本上升以及不断增长的安装基础推动的。
存货储备变动摘要如下:
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
年初余额 | (494.3) | | (473.2) | |
本年度的新增项目 | (192.4) | | (180.7) | |
汇率变动的影响 | 0.8 | | (6.1) | |
储量利用情况 | 212.7 | | 242.0 | |
年终余额 | (473.2) | | (418.0) | |
添加的内容2021, 2020年和2019记录在销售成本中。 年内增加主要与因技术发展及设计变更而过时的存货项目有关。
8. 其他资产
其他流动和非流动资产包括以下各项:
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
向Carl Zeiss SMT GmbH预付款 1 | 265.8 | | 288.5 | |
预付费用 | 278.7 | | 374.3 | |
衍生金融工具2 | 39.0 | | 52.2 | |
增值税应收账款 | 125.6 | | 136.7 | |
其他资产 | 92.6 | | 148.8 | |
其他流动资产: | 801.7 | | 1,000.5 | |
| | |
向Carl Zeiss SMT GmbH预付款 1 | 668.0 | | 694.3 | |
贷款给Carl Zeiss SMT GmbH 1 | — | | 124.4 | |
预付费用 | 55.2 | | 41.0 | |
衍生金融工具2 | 123.8 | | 47.3 | |
薪酬计划资产 | 67.0 | | 81.4 | |
非流动应收账款 | 22.6 | | 8.0 | |
其他资产 | 14.9 | | 15.0 | |
其他非流动资产 | 951.5 | | 1,011.4 | |
1.有关Carl Zeiss Smart GmbH其他资产的更多详细信息,请参阅注26关联方和可变利益实体。
2.有关衍生金融工具的进一步详情,请参阅附注25财务风险管理。
预付费用主要包括ASML集团尚未实现的公司间库存利润的预付所得税 欧元261.2百万(2020): €162.9百万)。预付费用还主要包括与IMEC的联合开发项目相关的维护预付款和合同余额€30.3百万截至2021年12月31日(2020年:欧元53.8百万)。2018年底,我们与imec开始了新的联合开发计划,根据该计划,我们主要提供前期系统和服务,并在整个合同期内接受研发服务,直至2024年。
9. 权益法投资
会计政策
我们能够施加重大影响但不受控制的股权投资使用权益法入账,并在权益法投资的综合资产负债表中列示。截至收购日,我们的投资成本与我们在被投资人相关净资产账面价值中的比例份额之间的差额是基差。基准差额根据收购日(即吾等获得重大影响的日期)的公允价值分配给可识别资产和负债,投资的超额成本超过可识别资产和负债的比例公允价值即为权益法商誉。
吾等按产生该差额的该等资产的估计剩余可使用年期摊销与其他无形资产有关的基准差额。所收购有限寿命无形资产的剩余加权平均寿命为 15.1以直线法摊销。进行中研发初始按公平值资本化为无限期无形资产。当研发项目完成时,其重新分类为可摊销购入无形资产,并按其估计可使用年期摊销。倘该项目被放弃,吾等将于放弃期间在吾等的综合经营报表中记录有关无形资产价值的全部基准差异支出。权益法商誉不予摊销或进行减值测试;相反,当有事件或情况变动显示投资账面值可能无法收回时,权益法投资会进行减值测试。
根据权益法,在初步按成本确认后,我们的权益法投资将根据我们在被投资人的利润或亏损及其他全面收益中的比例进行调整,并在一个季度的滞后时间内确认,以便及时准备财务信息并在权益法投资的利润中列报。我们在被投资方利润或亏损中的比例份额将根据会计原则和政策、基差调整和实体内利润的任何差异进行调整。收到股息减少了我们的权益法投资,这是根据分配的性质作为运营现金流列报的。
权益法投资包括: 24.9收购的股权百分比 2017年6月29日Carl Zeiss SMT Holding GmbH & Co. KG是一家有限合伙企业,拥有我们的光学柱供应商Carl Zeiss SMT GmbH。
在截至2021年12月31日的年度,我们从权益法投资中录得利润欧元199.1百万欧元(2020年:欧元88.6在我们的综合经营报表中。此溢利包括以下部分:
•利润€246.5百万欧元(2020年:欧元111.4百万)与会计政策调整后我们在Carl Zeiss SMT Holding GmbH & Co. KG净利润中所占的份额有关,包括欧元79.02021年百万福利与Carl Zeiss SMT Holding GmbH & Co. KG之前的递延收入有关,该收入因签订新框架协议而被释放
•与无形资产相关的基础差摊销产生的成本,26.7百万欧元(2020年:欧元26.7百万美元)
•由于公司间利润消除欧元而产生的成本(收益)20.7 百万(2020年:欧元(3.9)百万)
2021年我们收到了欧元的股息168.0百万欧元(2020年:欧元128.1Carl Zeiss SMT Holding GmbH & Co. KG。
Carl Zeiss SMT Holding GmbH & Co. KG是一家私人控股公司;因此,其股票的市场报价不可用。
10. 业务合并和资产剥离
会计政策
收购附属公司乃按收购法入账。收购成本乃根据所转让代价按公平值、已分派可识别资产的公平值及于收购日期(即我们取得控制权当日)产生或承担的负债的公平值计量。商誉乃资本化为所收购附属公司成本扣除所收购可识别资产及所产生或承担负债所占金额后之差额。收购相关成本于产生期间或接受服务期间产生时支销。
企业合并
2020年10月30日,我们完成了对Berliner Glas的收购,并通过收购获得了控制权 100Berliner Glas已发行股本的%,总代价为 €257.1万. Berliner Glas是全球领先的光学关键元件、组件和系统提供商之一。
总代价已分配至商誉 的 €87.9万,以欧元收购的资产312.1万和欧元承担的债务142.9万.或有对价于2021年以现金支付。 收购Berliner Glas产生的大部分善意归因于此次收购将帮助我们实现确保未来微影系统的升级和推出的战略目标。所有善意均已分配给ASML报告部门。已确认之商誉预期概无可扣减所得税。
资产剥离
2021年,我们出售了作为Berliner Glas收购一部分而收购的非半导体业务。
这些出售的收益总计为欧元。339.42000万美元,主要与2021年11月30日出售医疗应用和瑞士光学业务有关。剩余的收益来自于2021年4月30日出售柏林Glas Technology Glas业务。
税前收益为欧元213.7 这些交易确认了百万美元,并记录在我们综合经营报表中的其他收入(损失)行项目中.
11. 商誉
会计政策
商誉是指收购成本超过被收购子公司在收购日分配给被收购子公司的资产和产生或承担的负债的公允价值的部分。收购附属公司的商誉被分配给报告单位进行减值测试。分配给预期将从产生商誉的业务合并中受益的报告单位。商誉按成本减去累计减值损失列报。
商誉每年或当事件或环境变化显示商誉的账面值可能无法收回时进行减值测试。为了确定是否有必要进行商誉减值量化测试,我们每年进行一次零步定性评估。如果我们确定报告单位的公允价值很可能超过其账面价值,我们不会进行商誉减值量化测试。
善意主要来自对Cymer和HM的收购。截至2021年12月31日的余额为欧元4,555.6百万欧元(2020年:欧元4,629.1百万)。欧元的减少73.5百万是Berliner Glas期间撤资非半导体业务的结果 2021.
我们已经确定了二报告单位:ASML报告单位和Cymer光源报告单位。截至2021年12月31日,分配给报告部门ASML的声誉为欧元4,093.3百万欧元(2020年:欧元4,166.8百万)和报告单位Cymer光源金额为欧元462.3百万欧元(2020年:欧元462.3百万)。
根据我们在年度善意减损测试中的评估,我们认为报告单位的公允价值很有可能超过其公允价值,因此截至2021年12月31日,善意并未出现减损。
12. 无形资产,净额
会计政策
无形资产包括品牌、知识产权、已开发技术、客户关系及其他尚未可供使用的无形资产。该等有限年期无形资产按成本减累计摊销及累计减值亏损列账。摊销乃根据资产之估计可使用年期以直线法计算。
每当有迹象表明资产负债表的公允价值可能无法使用寿命的现金流量预测收回时,就对有效期的无形资产进行评估。
下表显示了无形资产各自的使用年限:
| | | | | |
类别 | 预计使用寿命 |
品牌 | 20年份 |
知识产权 | 3 - 10年份 |
发达的技术 | 6 - 15年份 |
客户关系 | 8 - 18年份 |
其他 | 2 - 10年份 |
截至2021年12月31日,无形资产主要包括收购HM(2016)和Cymer(2013)获得的品牌、知识产权、开发技术和客户关系:
| | | | | | | | | | | | | | | | | | | | |
欧元,以百万为单位 | 品牌 | 知识产权 | 发达的技术 | 客户关系 | 其他 | 总计 |
成本 | | | | | | |
2020年1月1日的余额 | 38.9 | | 142.4 | | 1,200.1 | | 228.6 | | 110.5 | | 1,720.5 | |
通过业务合并进行收购 | — | | — | | 30.0 | | — | | 2.3 | | 32.3 | |
加法 | — | | 2.5 | | — | | — | | 33.4 | | 35.9 | |
| | | | | | |
处置 | — | | — | | — | | — | | (0.2) | | (0.2) | |
汇率变动的影响 | — | | (0.1) | | — | | — | | (0.1) | | (0.2) | |
2020年12月31日余额 | 38.9 | | 144.8 | | 1,230.1 | | 228.6 | | 145.9 | | 1,788.3 | |
| | | | | | |
加法 | — | | — | | — | | — | | 45.6 | | 45.6 | |
撤资 | — | | — | | (9.9) | | — | | (0.8) | | (10.7) | |
处置 | — | | — | | — | | — | | (0.5) | | (0.5) | |
汇率变动的影响 | — | | — | | — | | — | | (0.2) | | (0.2) | |
2021年12月31日的余额 | 38.9 | | 144.8 | | 1,220.2 | | 228.6 | | 190.0 | | 1,822.5 | |
| | | | | | |
累计摊销 | | | | | | |
2020年1月1日的余额 | 9.2 | | 70.6 | | 428.6 | | 83.2 | | 24.5 | | 616.1 | |
摊销 | 1.9 | | 8.2 | | 82.1 | | 12.7 | | 18.6 | | 123.5 | |
| | | | | | |
处置 | — | | — | | — | | — | | (0.2) | | (0.2) | |
汇率变动的影响 | — | | — | | — | | — | | — | | — | |
2020年12月31日余额 | 11.1 | | 78.8 | | 510.7 | | 95.9 | | 42.9 | | 739.4 | |
摊销 | 1.9 | | 8.4 | | 84.2 | | 12.7 | | 25.8 | | 133.0 | |
| | | | | | |
撤资 | — | | — | | (0.9) | | — | | (0.4) | | (1.3) | |
处置 | — | | — | | — | | — | | (0.4) | | (0.4) | |
汇率变动的影响 | — | | — | | — | | — | | (0.3) | | (0.3) | |
2021年12月31日的余额 | 13.0 | | 87.2 | | 594.0 | | 108.6 | | 67.6 | | 870.4 | |
| | | | | | |
账面金额 | | | | | | |
2020年12月31日 | 27.8 | | 66.0 | | 719.4 | | 132.7 | | 103.0 | | 1,048.9 | |
2021年12月31日 | 25.9 | | 57.6 | | 626.2 | | 120.0 | | 122.4 | | 952.1 | |
综合经营报表包括以下摊销费用:
| | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
销售成本 | 97.4 | | 101.8 | | 107.8 | |
研发成本 | 7.5 | | 12.0 | | 14.5 | |
SG&A | 10.5 | | 9.7 | | 10.7 | |
全额摊销 | 115.4 | | 123.5 | | 133.0 | |
截至2021年12月31日,尚未可使用的无形资产金额为欧元23.6百万欧元(2020年:欧元24.8百万美元),并分配给报告股反兴奋剂机构。
2021年我们记录了 不是减损费用(2020年:欧元000万; 2019: €000万).
截至2021年12月31日,未来5年及以后无形资产的预计摊销费用:
| | | | | |
欧元,以百万为单位 | 金额 |
2022 | 135.2 | |
2023 | 130.4 | |
2024 | 121.0 | |
2025 | 115.6 | |
2026 | 109.0 | |
此后 | 340.9 | |
总计 | 952.1 | |
13. 财产、厂房和设备、净值
会计政策
物业、厂房及设备按成本减去累计折旧及累计减值损失列账。ASML制造的资产成本包括直接制造成本、生产管理费用和在建设期间为符合条件的资产产生的利息成本。物业、厂房及设备在综合经营报表中按其估计使用年限按直线原则折旧,但未折旧的土地除外。
根据经营租赁租予客户的评估系统按成本资本化为物业、厂房及设备,并于各自租赁期内折旧。租赁期届满时退回ASML的租赁资产,或被收回至物业、厂房及设备,因其将由D & E内部使用,或转回存货进行返工及出售。
拟出售但首先在内部使用超过 一年用于研发目的,从库存重新分类到财产、厂房和设备,并在内部使用时折旧。当研发活动不再需要时,资产的账面价值被重新归类到库存并重新处理,以准备出售给我们的客户。在我们的财产、厂房和设备转移计划中,这些转移被报告为进出库存的净非现金转移。
当有迹象显示账面值可能无法收回时,物业、厂房及设备使用可使用年期的现金流量预测进行减值评估。
下表显示了财产、厂房和设备各自的使用年限:
| | | | | |
类别 | 预计使用寿命 |
建筑物和施工 | 5 - 45年份 |
机器和设备 | 1 - 7年份 |
租赁权改进 | 1 - 10年份 |
家具、固定装置和其他 | 3 - 5年份 |
财产、厂房和设备包括以下内容:
| | | | | | | | | | | | | | | | | |
欧元,以百万为单位 | 土地和 建筑 | 机械设备 和 装备 | 租赁权改进 | 家具、固定装置和其他 | 总计 |
成本 | | | | | |
2020年1月1日的余额 | 2,036.5 | | 1,587.8 | | 301.0 | | 377.7 | | 4,303.0 | |
通过业务合并进行收购 | 49.1 | | 65.7 | | — | | 10.3 | | 125.1 | |
加法 | 359.3 | | 263.0 | | 45.7 | | 43.4 | | 711.4 | |
处置 | (0.4) | | (53.6) | | (5.2) | | (9.0) | | (68.2) | |
进出库存的非现金净额 | — | | (23.9) | | — | | — | | (23.9) | |
汇率变动的影响 | (12.3) | | (10.1) | | (1.2) | | (1.8) | | (25.4) | |
2020年12月31日余额 | 2,432.2 | | 1,828.9 | | 340.3 | | 420.6 | | 5,022.0 | |
| | | | | |
加法 | 372.7 | | 389.6 | | 33.2 | | 65.3 | | 860.8 | |
撤资 | (17.9) | | (13.4) | | — | | (4.7) | | (36.0) | |
处置 | (0.5) | | (199.1) | | (7.5) | | (70.3) | | (277.4) | |
进出库存的非现金净额 | — | | 11.9 | | — | | — | | 11.9 | |
汇率变动的影响 | 17.2 | | 10.8 | | 2.6 | | 3.2 | | 33.8 | |
2021年12月31日的余额 | 2,803.7 | | 2,028.7 | | 368.6 | | 414.1 | | 5,615.1 | |
| | | | | |
累计折旧和减值 | | | | | |
2020年1月1日的余额 | 746.3 | | 1,022.7 | | 281.3 | | 253.4 | | 2,303.7 | |
折旧 | 102.0 | | 186.2 | | 21.4 | | 42.1 | | 351.7 | |
减值费用 | — | | 2.7 | | — | | — | | 2.7 | |
处置 | (0.1) | | (51.6) | | (4.7) | | (9.0) | | (65.4) | |
进出库存的非现金净额 | — | | (29.9) | | — | | — | | (29.9) | |
汇率变动的影响 | (5.6) | | (3.9) | | (0.7) | | (0.9) | | (11.1) | |
2020年12月31日余额 | 842.6 | | 1,126.2 | | 297.3 | | 285.6 | | 2,551.7 | |
折旧 | 95.6 | | 167.1 | | 15.9 | | 43.0 | | 321.6 | |
减值费用 | 3.1 | | 8.2 | | 0.2 | | — | | 11.5 | |
撤资 | (0.6) | | (4.4) | | — | | (2.5) | | (7.5) | |
处置 | (0.4) | | (181.2) | | (3.9) | | (69.7) | | (255.2) | |
进出库存的非现金净额 | — | | (7.9) | | — | | — | | (7.9) | |
汇率变动的影响 | 7.4 | | 7.6 | | 1.5 | | 1.7 | | 18.2 | |
2021年12月31日的余额 | 947.7 | | 1,115.6 | | 311.0 | | 258.1 | | 2,632.4 | |
| | | | | |
账面金额 | | | | | |
2020年12月31日 | 1,589.6 | | 702.7 | | 43.0 | | 135.0 | | 2,470.3 | |
2021年12月31日 | 1,856.0 | | 913.1 | | 57.6 | | 156.0 | | 2,982.7 | |
截至2021年12月31日,账面金额包括欧元在建资产695.9百万欧元(2020年:欧元676.4300万美元),主要包括土地和建筑物以及机器和设备。
截至2021年12月31日,土地账面金额为欧元137.5百万欧元(2020年:欧元102.4百万)。
2021年增加的土地和建筑,以及家具、固定装置和其他,与建设ASML的物流设施、EUV 0.55 NA(High-NA)工厂和我们位于Veldhoven的总部的办公空间有关,以支持我们的持续增长。
2021年在机械和设备方面的新增主要涉及升级和扩大生产工具,以支持我们的业务增长,以及对新技术原型的投资。
2021年增加的租赁改进涉及为美国和韩国的租赁物业安装干净的房间和办公空间。于二零二一年期间,我们并无订立任何需要进一步改善租赁投资的额外租约。
综合经营报表包括下列折旧费用:
| | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
销售成本 | 196.1 | | 205.9 | | 188.6 | |
研发成本 | 117.2 | | 119.9 | | 101.4 | |
SG&A | 12.0 | | 25.9 | | 31.6 | |
折旧总额 | 325.3 | | 351.7 | | 321.6 | |
14. 使用权资产和租赁负债
会计政策
我们在一开始就确定一项安排是否为租赁。经营租赁计入综合资产负债表中的使用权资产--营运、应计及其他流动负债,以及应计及其他非流动负债。融资租赁包括在我们综合资产负债表中的使用权资产-金融、长期债务的当前部分和长期债务。
使用权资产代表我们在租赁期内使用标的资产的权利,租赁负债代表我们因租赁而产生的支付租赁款项的义务。使用权资产及租赁负债于开始日期根据租赁期内租赁付款的现值确认。由于我们的租赁不提供隐含利率,我们使用基于开始日期可获得的信息的递增借款利率来确定租赁付款的现值。使用权资产包括在生效日期或之前支付的任何租赁付款,并因租赁奖励而减少。我们的使用权资产估值可能包括在合理确定我们将行使该选择权时延长或终止租约的选择权。租赁费用在租赁期内按直线原则确认。
我们有租赁和非租赁组件的租赁协议。租赁部分与非租赁部分分开核算。租赁和非租赁组成部分之间的对价分配是根据租赁合同中包括的租赁组成部分的相对独立价格计算的。
使用权资产包括以下租赁:
| | | | | | | | | | | | | | | | | |
| 经营租约 | | 融资租赁 |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2020 | 2021 |
属性 | 158.2 | | 144.4 | | | 130.7 | | 5.3 | |
汽车 | 7.6 | | 6.7 | | | — | | — | |
装备 | — | | — | | | 34.1 | | — | |
仓库 | 11.0 | | 7.5 | | | — | | — | |
其他 | 3.3 | | 0.9 | | | — | | — | |
使用权资产 | 180.1 | | 159.5 | | | 164.8 | | 5.3 | |
ASML拥有我们在荷兰维尔德霍温总部用于制造、供应链管理和一般行政管理的大部分房地产。在我们的其他地点,我们在世界各地的许多物业都是租赁的。
2020年融资租赁的使用权资产主要包括与我们与Carl Zeiss Smart签订的High-NA协议相关的设施和工具,其资金由ASML预付。该协议被新的框架协议取代。签订新协议后,这些资产不再符合租赁的定义。它们在2021年被归类为其他资产的一部分。更多详情请参阅注26关联方和可变利益实体。
租赁负债分为流动和非流动:
| | | | | | | | | | | | | | | | | |
| 经营租约 | | 融资租赁 |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2020 | 2021 |
当前 | 46.5 | | 43.7 | | | 4.7 | | 2.9 | |
非当前 | 129.8 | | 118.0 | | | 8.1 | | 2.3 | |
租赁负债 | 176.3 | | 161.7 | | | 12.8 | | 5.2 | |
截至2021年12月31日止年度,经营租赁安排项下的租赁负债减少欧元14.6百万美元,主要是由于预定租赁付款,部分被新租赁合同抵消。
综合经营报表包括以下与该等租赁有关的折旧费用:
| | | | | | | | | | | | | | | | | | | | | | | |
| 经营租约 | | 融资租赁 |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 | | 2019 | 2020 | 2021 |
属性 | 48.2 | | 47.6 | | 49.3 | | | 2.8 | | 4.1 | | 2.9 | |
汽车 | 8.1 | | 5.5 | | 4.8 | | | — | | — | | — | |
装备 | — | | — | | — | | | 4.5 | | 7.0 | | — | |
仓库 | 4.5 | | 6.6 | | 3.0 | | | — | | — | | — | |
其他 | 12.4 | | 5.9 | | 2.4 | | | — | | — | | — | |
使用权资产折旧费用 | 73.2 | | 65.6 | | 59.5 | | | 7.3 | | 11.1 | | 2.9 | |
与租赁负债有关的现金流量总额如下:
| | | | | | | | | | | | | | | | | | | | | | | |
| 经营租约 | | 融资租赁 |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 | | 2019 | 2020 | 2021 |
总现金流 | 73.2 | | 58.8 | | 64.3 | | | 2.8 | | 2.9 | | 4.6 | |
与租赁相关的加权平均剩余租期和加权平均贴现率如下:
| | | | | | | | | | | | | | | | | | | | | | | |
| 经营租约 | | 融资租赁 |
截至2013年12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 | | 2019 | 2020 | 2021 |
加权平均剩余租期(月) | 70 | 65 | 64 | | 230 | 243 | 86 |
加权平均贴现率(%) | 2.2 | % | 2.0 | % | 2.0 | % | | 0.7 | % | 0.5 | % | 0.5 | % |
15. 应计负债和其他负债
应计负债及其他负债包括以下各项:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
须缴付的讼费 | 233.9 | | 352.0 | |
人员相关项目 | 757.4 | | 864.7 | |
衍生金融工具 1 | 20.0 | | 2.8 | |
经营租赁负债2 | 176.3 | | 161.7 | |
条文 | 84.8 | | 91.2 | |
标准保修准备金 | 119.1 | | 145.3 | |
其他 | 12.0 | | 68.9 | |
应计负债和其他负债 | 1,403.5 | | 1,686.6 | |
减去:应计负债和其他负债的非流动部分 | 257.5 | | 251.1 | |
应计负债和其他负债的当期部分。 | 1,146.0 | | 1,435.5 | |
1.有关衍生金融工具的进一步详情,请参阅附注25财务风险管理。
2.有关经营租赁负债的更多详情,请参阅附注14使用权资产和租赁负债。
截至2021年12月31日将支付的成本包括应付增值税以及供应商提供的未计费服务(包括合同劳动力、外包服务和咨询)的应计成本。
人员相关项目主要包括应计年度短期激励奖金计划、应计假期、应计养老金保费、应计工资税和应计假期津贴。应计人员相关项目较上一年增加主要是由于我们业务的持续增长,导致我们的员工数量增加。
标准保修准备金是基于产品历史性能和履行保修义务的预期总成本。我们每年都会根据最新的实际历史保修成本和预期的未来保修成本评估和更新标准保修储备。 2021年和2020年标准保修准备金的总变化如下:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
年初余额 | 128.4 | | 119.1 | |
本年度的新增项目 | 137.1 | | 188.6 | |
储量利用情况 | (145.9) | | (162.8) | |
| | |
汇率的影响 | (0.5) | | 0.4 | |
年终余额 | 119.1 | | 145.3 | |
16. 长期债务和利息和其他成本
会计政策
长期债务是指未经政府机关登记而私下发行的债务,并根据已签署的协议条款支付给其他人。长期债务初始按公允价值确认,其后按摊余成本计量。只要本集团有无条件权利将负债的清偿延迟至报告期后最少12个月,债务即符合资格为长期债务。
与长期债务有关的应计利息及付款均作为应计负债及其他负债的一部分入账。利息和其他费用应在商定的期限内随时间的推移而累计并记录下来,无论利息何时收到或支付。
长期债务由以下部分组成:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
€500百万0.625%优先票据于2016年7月发行,本金于2022年7月7日到期,每年利息于7月7日支付,账面值 | 501.5 | | 500.5 | |
€750万3.375%优先票据于二零一三年九月发行,本金于二零二三年九月十九日到期,每年利息于九月十九日支付,账面值 | 802.1 | | 780.6 | |
€1,000万1.375%优先票据于2016年7月发行,本金于2026年7月7日到期,每年利息于7月7日支付,账面值 | 1,028.0 | | 1,003.2 | |
€750万1.625%优先票据于2016年11月发行,本金于2027年5月28日到期,每年利息于5月28日支付,账面值 | 795.4 | | 769.3 | |
€750万0.250%优先票据于2020年2月发行,本金于2030年2月25日到期,每年利息于2月25日支付,账面值 | 740.7 | | 741.7 | |
€750万0.625%优先票据2020年5月发行,本金2029年5月7日到期每年5月7日应付利息,面值 | 746.8 | | 747.1 | |
与Berliner Glas收购的债务 | 55.5 | | 36.4 | |
其他 | 8.2 | | 5.3 | |
长期债务 | 4,678.2 | | 4,584.1 | |
减去:长期债务的当前部分 | 15.4 | | 509.1 | |
长期债务的非流动部分 | 4,662.8 | | 4,075.0 | |
所有优先票据可由ASML选择,随时支付全部溢价赎回,全部或部分,除非先前赎回,否则将按以下价格赎回: 100在到期日的本金额的%。
截至2021年12月31日,我们根据优先票据和其他借款安排偿还本金的义务(不包括利息费用):
| | | | | |
欧元,以百万为单位 | 金额 |
2022 | 508.6 | |
2023 | 755.9 | |
2024 | 4.5 | |
2025 | 4.5 | |
2026 | 1,004.5 | |
此后 | 2,263.6 | |
总债务到期日 | 4,541.6 | |
2022年,该义务主要与2022年7月7日到期的优先票据的本金偿还有关。其后年度主要与偿还长期优先票据之本金有关。
欧元债券
下表概述我们的未偿还欧元债券的账面值,包括用于对冲欧元债券公平值变动的利率掉期的公平值:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
摊销成本金额 | 4,474.1 | | 4,478.5 | |
公允价值利率互换 1 | 140.4 | | 63.9 | |
账面金额 | 4,614.5 | | 4,542.4 | |
1.利率互换的公允价值不包括应计利息。
我们使用利率掉期,通过调整可用现金及计息债务的利息条款,将本集团的净利息风险降至最低。该等利率掉期的公允价值变化记录在综合资产负债表的其他资产项下,欧元债券的公允价值变化进行调整。我们并无就二零二零年发行的欧元债券订立额外利率互换协议.
下表汇总了我们的欧元债券的估计公允价值:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
本金金额 | 4,500.0 | | 4,500.0 | |
账面金额 | 4,614.5 | | 4,542.4 | |
公允价值1 | 4,798.8 | | 4,673.9 | |
1.来源:彭博财经有限责任公司。
我们欧元债券的公允价值是根据截至2021年12月31日的市场报价估计的。由于自发行固定息票利率的欧洲债券以来市场利率和信用利差发生变化,公允价值与本金金额存在偏差。
与Berliner Glas收购的债务
Berliner Glas的贷款包括欧元的抵押贷款24.1百万,年利率为0.52034年偿还%,各金融机构循环信贷便利为欧元12.3百万,年利率介于 0.8%和1.2%至2024年每年偿还。
信用额度
我们与多家银行保持着可用的承诺信贷安排,€700.0百万截至2021年12月31日和截至2020年12月31日。 不是2021年底和2020年底,承诺信贷融资项下的未偿金额。这个设施欧元700.0百万于2019年7月3日重新谈判,原始到期日为2024年7月3日。该设施包括二1-年延期选项。第二次1年延期于2021年6月进行。这将到期日从2025年7月延长至2026年7月。 该信贷安排下的未偿金额将承担Euribor利息加上保证金。利润率取决于我们的信用评级和ESG评分。
我们有一项未承诺的担保机制,€85.0百万根据该规定,可以向第三方提供正常业务过程中的担保,例如海关或租金担保。截至2019年,ASML为我们的中国子公司欧元签订了非承诺信贷融资130.0百万美元。未承诺信贷安排包括银行担保、备用信用证以及最高可达欧元的预付款。75.0百万美元。不是在这项贷款下有未偿还的金额。未承诺贷款项下的未偿还金额将根据提款时的市场情况计入利息。
利息及其他,净额
利息及其他净主要包括利息收入和利息支出。2021年利息费用部分为欧元54.6百万欧元(2020年:欧元43.3百万欧元;2019年:欧元36.6百万)。这些费用主要与欧元债券的利息费用、利率掉期和对冲以及摊销融资成本以及现金和现金等值物的负利息有关。
17. 承付款和或有事项
承付款
我们有各种合同义务,其中一些需要作为负债记录在我们的综合资产负债表中,包括长期和短期债务和租赁承诺。其他合同义务,即购买义务,一般不需要确认为负债,但需要披露。
截至2021年12月31日,我们的合同义务可概括如下:
| | | | | | | | | | | | | | | | | | | | | | | |
按期间开列的应付款(欧元,百万美元) | 总计 | 1年 | 2年 | 3年 | 4年 | 5年 | > 5年 |
长期债务,包括利息1 | 4,806.9 | | 570.3 | | 814.2 | | 37.5 | | 37.6 | | 1,037.7 | | 2,309.6 | |
租赁义务2 | 161.7 | | 43.7 | | 35.7 | | 21.3 | | 16.6 | | 15.4 | | 29.0 | |
购买义务 | 8,527.4 | | 6,974.0 | | 814.1 | | 405.7 | | 223.4 | | 74.2 | | 36.0 | |
合同债务总额 | 13,496.0 | | 7,588.0 | | 1,664.0 | | 464.5 | | 277.6 | | 1,127.3 | | 2,374.6 | |
1.长期债务责任主要与我们的欧元债券的本金额及利息支付有关。有关不包括利息开支的金额,详情见附注16长期债务及利息及其他成本。
2.详情见附注14:使用权资产和租赁负债。
在正常业务过程中,我们对供应商负有采购义务,主要涉及我们运营所需的商品和服务。截至2021年12月31日,与我们购买义务的主要部分相关的协议的一般条款和条件包含一些条款,使我们能够根据未来销售的时间,将订购商品和服务的交付推迟或取消到采购协议中指定的日期。我们通常与供应商商定的条款和条件给了我们额外的灵活性来调整我们的采购义务根据我们所在行业固有的周期性和技术发展,满足我们的要求。
或有事件
ASML受到诉讼、诉讼和其他实际或潜在索赔的影响。此外,ASML的客户可能会受到第三方的侵权索赔,指控这些客户在半导体产品制造中使用的ASML设备和/或与ASML设备的使用相关的方法侵犯了向这些第三方颁发的一项或多项专利。如果这些索赔成功,ASML可能被要求赔偿这些客户因该侵权行为而遭受的部分或全部损失或评估的损害。
对于任何诉讼和索赔,我们的管理层根据相关事实和法律原则评估不利(或有利)结果的可能性,以及损失(或收益)的金额是否可以合理估计。在这些评价中需要作出判断,包括对所称索赔的有效性以及法律和行政诉讼的可能结果作出判断。然而,这些诉讼的结果受到一些我们无法控制的因素的影响,最明显的是与法院和行政机构的预测决定有关的不确定性。此外,对与法律和行政诉讼有关的潜在费用(或收益)的估计常常不能进行任何敏感性分析,因为索赔人提出的损害估计或和解提议可能与最终结果几乎没有关系。最后,在任何特定诉讼中,我们可能同意和解或终止我们认为最终会胜诉的索赔或诉讼,如果我们认为这样做,与其他相关的商业考虑因素结合在一起,比参与昂贵和旷日持久的诉讼更有效,而诉讼的结果是不确定的。
截至2021年12月31日,管理层已确定ASML没有在我们的综合资产负债表中列报的每一年被认为可能或合理地可能存在的任何重大或意外事件。
18. 人员费用和员工信息
所有工资单雇员的人事费用如下:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
工资和薪金 | 2,124.4 | | 2,519.6 | | 2,842.7 | |
社会保障费用 | 181.9 | | 208.1 | | 249.8 | |
退休金和退休费用 | 152.5 | | 182.6 | | 229.2 | |
基于股份的支付 | 74.6 | | 53.9 | | 117.5 | |
人员费用 | 2,533.4 | | 2,964.2 | | 3,439.2 | |
人员支出的持续增加主要是由于增加了员工工资,以支持我们的业务持续增长。2020年的人事费用不包括柏林GLAS的任何费用,因为ASML使用一个季度的滞后来合并柏林GLAS。
全职员工的平均薪资人数为:
| | | | | | | | | | | |
全职员工的平均薪资员工数 | 2019 | 2020 | 2021 |
荷兰 | 11,376 | | 12,812 | | 14,222 | |
世界范围 | 22,192 | | 24,727 | | 28,223 | |
截至12月31日,每个行业FTE的工资单和临时雇员总数为:
| | | | | | | | | | | |
截至12月31日的年度(全日制) | 2019 | 2020 | 2021 |
客户支持 | 5,953 | | 6,429 | | 7,485 | |
制造业与供应链管理 | 5,933 | | 7,680 | | 8,237 | |
战略供应管理 | 326 | | 346 | | 707 | |
一般和行政 | 1,898 | | 2,061 | | 2,761 | |
销售和成熟的产品和服务 | 624 | | 744 | | 766 | |
研究与发展 | 10,166 | | 10,813 | | 12,060 | |
总计 | 24,900 | | 28,073 | | 32,016 | |
减:临时雇员 | 1,681 | | 1,459 | | 2,155 | |
领薪雇员 | 23,219 | | 26,614 | | 29,861 | |
短期奖励奖金计划
我们为员工制定了年度绩效相关的短期激励(STI)奖金计划。根据该等计划,雇员花红支付取决于雇员的职务级别、花红计划的类型及公司/个人的表现。员工奖金支付(不包括管理委员会)范围为 0.0%和117.0年基本工资总额的%。2021年STI奖金作为合并资产负债表中应计负债和其他负债的一部分应计,并将于2022年第一季度支付。
管理委员会和其他员工的STI奖金费用如下:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
管理委员会 | 5.1 | | 5.4 | | 4.4 | |
前管理委员会 | — | | — | | 0.2 | |
其他员工 | 269.1 | | 402.5 | | 423.5 | |
STI奖金支出总额 | 274.2 | | 407.9 | | 428.1 | |
19. 员工福利
会计政策
向界定供款退休福利计划作出之供款于雇员提供服务而有权享有供款时确认为开支。向国家管理的退休福利计划作出的付款被视为向定额供款计划作出的付款,而我们于该等计划项下的责任相当于定额供款退休福利计划产生的责任。
我们坚持认为一多雇主工会固定收益养老金计划和各种其他固定缴费养老金计划覆盖了我们的大部分员工。ASML对其多雇主定义的福利计划进行会计处理,就好像它是一个定义的缴款计划,原因如下:
•ASML隶属于一个全行业的养老基金,并与其他参与公司共同使用养老金计划
•根据养老金计划的规定,这些参与公司对养老基金唯一的义务是支付年度保费责任。参与计划的公司没有任何义务来支付养老金计划可能产生的任何赤字。他们也没有声称有任何潜在的盈余。
截至2021年、2020年和2019年12月31日止年度,我们所有员工的养老金和退休费用为:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
基于多雇主工会计划的养老金计划 | 96.6 | | 126.8 | | 161.7 | |
基于固定缴款的养老金计划和其他计划 | 55.9 | | 55.8 | | 67.5 | |
退休金和退休费用 | 152.5 | | 182.6 | | 229.2 | |
多雇主工会计划
根据对我们经营的行业有效的集体谈判协议,有15,414荷兰符合条件的员工(51.6参与多雇主工会计划的员工总数的百分比。我们任何时期的该多雇主工会计划净定期养老金成本是该时期所需雇主缴款的金额。
这项多雇主工会计划由PME(Stichting Pensioenfonds Van De Metalektro)管理,该计划涵盖大约1,466公司和大约 167,768贡献成员。每个参与公司按相同的缴款率缴纳保费。这一缴款率每年根据多雇主工会计划的覆盖率波动。2021年,贡献百分比为 27.6% (2020: 22.7%, 2019: 22.7%). 2021年,我们对这项多雇主工会计划的缴款(包括员工支付的保费),wAS13.6% (2020: 14.0%, 2019: 11.7%)对该计划的总贡献。对于2022年,我们预计贡献约为欧元240.0 100万元(包括雇员支付的保险费)。每个雇员的养恤金权利以雇员受雇期间的平均工资为基础。
PME多雇主工会计划在全球范围内监测其风险,并受到荷兰政府当局的监管。根据荷兰法律(《荷兰养老金法》),必须根据具体标准对多雇主工会计划进行监测,包括该计划的资产与其债务的覆盖率。覆盖率的计算方法是将基金资本除以养老金负债总额,并以实际市场利率为基础。
在2021年期间,PME的覆盖率提高到107.9截至2021年12月31日的百分比(2020年12月31日:97.2%)。2021年的养老金支出没有减少,因为PME利用了一项延长的临时部长豁免规定。法律要求的最低保险比率为104.3% (2020: 104.3%)。已经制定了一项恢复计划,以提高对以下目标的覆盖率118%。ASML没有义务支付养老基金可能产生的任何赤字,我们也没有任何潜在盈余的要求。
固定缴款和其他养恤金计划
我们亦参与多项其他定额供款退休金计划(荷兰境内及境外),该等计划的开支相等于有关期间的雇主供款。
递延补偿计划
对于我们的美国员工,我们有一个不受限制的递延薪酬计划,允许一批精选的管理层或高薪员工推迟部分工资、奖金和佣金。该计划允许我们将额外的金额贷记到参与者的账户余额中。参与者将他们的资金分配给计划中可用的投资。参与者选择至少在其终止雇佣或退出选举较早的时间后的未来期间获得他们的资金3几年后,推迟。费用接近, 零与2021年、2020年和2019年的这一计划有关。截至2021年12月31日,我们在递延薪酬计划下的负债为欧元82.4百万欧元(2020年:欧元68.3百万)。相关的薪酬计划资产为欧元81.4百万欧元(2020年:欧元67.0百万)。
20. 基于股份的薪酬
ASML为员工制定了以下计划:
•长期激励奖金计划
•期权计划
•员工购买计划
长期激励奖金计划
我们的LTI计划由一项总体员工伞形股票计划涵盖,该计划自2014年1月1日起生效,覆盖所有员工。根据这项员工保护伞计划授予股权激励的主要目的是继续在国际劳动力市场吸引、奖励和留住合格和经验丰富的行业专业人员。员工保护伞股票计划下的所有授予通常都有2.5至3年归属期,并受业绩和/或服务标准的限制。
作为我们长期激励(LTI)奖金的一部分,员工可以获得基于服务或绩效份额的薪酬计划。对于服务型计划,股票在授予日期授予,在服务了一段时间后,参与者在授予日期获得这些股票。对于绩效计划,相同的条件适用于服务类型计划。此外,股票是根据公司特定的业绩标准有条件地授予和奖励的,这些标准可以分为市场和非基于市场的要素。这些股份在服务期结束后归属,并在归属日达到业绩。
大会批准通过管理委员会的最新薪酬政策和拟发行的股票数量。最新的薪酬政策包括LTI计划的目标和最高水平、业绩衡量标准和支付区百分比。针对员工的政策由管理委员会批准。股东大会还批准了对董事会发行/授予普通股的限制和限制、限制或排除股东应计优先购买权的限制以及代表公司回购普通股的限制和限制。
下表显示了2021年批准的LTI业绩计划的业绩标准和相应的权重。
| | | | | | | | |
LTI绩效计划标准 | 市场/非市场要素 | 重量 |
股东总回报 | 市场 | 30 | % |
ROAIC | 非市场化 | 40 | % |
技术领先指数 | 非市场化 | 20 | % |
可持续性 | 非市场化 | 10 | % |
总计 | | 100 | % |
会计政策
基于市场的要素的公允价值于授出日合并预期归属及归属时的预期价值,采用度身订造的蒙特卡罗模拟模型计量。由于参与者于归属期间无权享有应付股息及投票权,服务计划及业绩计划的非市场成分的公允价值为授出日期的股价减去归属期间预期股息的现值。服务和非市场业绩计划的条件得到满足的可能性被评估为该公司对最终将授予的股权工具数量的最佳估计的一部分。
参与者有权在授予时获得有条件的公司股票授予。绩效计划受到悬崖归属的影响,并按直线记账。纯服务计划受分级归属的影响。因此,该计划的每一期都被视为具有单独公允价值的单独赠款。这意味着每笔分期付款都将单独计量,并计入相关归属期间的费用。在符合所有其他履约条件的情况下,按固定归属水平(归属预期计入公允价值)于归属期间确认以市场为基础的元素的开支。非市场元素及服务计划的开支于归属期间按预期归属水平确认,并于归属期间按需要更新,最后更新/调整于归属日期。于奖励归属期间,所有以股份为基础的薪酬开支均确认为人事开支,并于权益中作出相应入账。以股份为基础的薪酬开支计入职能分组综合经营报表中与支付给领取以股票为基础的奖励的雇员的薪酬相同的一项或多项收入表行。
下表列出了计算LTI业绩计划股票公允价值的最重要假设,其中包括基于市场的业绩标准:
| | | | | | | | | | | |
截至2013年12月31日的年度 | 2019 | 2020 | 2021 |
授权日以欧元计算的股价 | 199.5 | | 270.7 | | 462.9 | |
预期波动率ASML | 29.8 | % | 28.9 % | 38.5 | % |
预期波动率PHLX指数 | 24.8 | % | 24.7 % | 35.3 | % |
归属期间 | 2.5年份 | 2.9年份 | 2.9年份 |
股息率 | 1.1 | % | 0.9 % | 0.6 | % |
无风险利率(欧元区) | (0.8) | % | (0.6) | % | (0.8) | % |
无风险利率(美国) | 1.8 | % | 1.5 % | 0.2 | % |
包括管理委员会在内的长期投资计划的开支如下:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
已发生费用总额 | 74.6 | | 53.9 | | 117.5 | |
确认所得税优惠(不包括超额所得税优惠) | 5.9 | | 6.6 | | 8.2 | |
未来期间的预计费用总额 | 95.8 | | 85.9 | | 125.4 | |
确认这些预期费用的加权平均期间 | 1.6年份 | 1.6年份 | 1.7年份 |
有关年内授出及归属股份之详情载于下表:
| | | | | | | | | | | | | | | | | | | | |
| 欧元计价 | 以美元计价 |
截至2013年12月31日的年度 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 |
年内归属股份于归属日期之公平值总额(以百万计): | 58.7 | | 124.9 | | 156.9 | | 54.9 | | 133.9 | | 164.0 | |
已授予股份的加权平均公允价值 | 190.33 | | 297.05 | | 547.79 | | 206.90 | | 302.75 | | 498.64 | |
截至2021年12月31日有条件发行股份的状况以及截至2021年12月31日止年度的变化摘要如下:
| | | | | | | | | | | | | | |
| 欧元计价 | 以美元计价 |
| 数 的股份 | 加权 平均值 公允价值按 授予日期 | 数 的股份 | 加权 平均值 公允价值按 授予日期 |
2021年1月1日已发行的有条件股票 | 555,094 | | 201.44 | | 444,754 | | 225.26 | |
授与 | 120,665 | | 547.79 | | 69,440 | | 498.64 | |
既得 | (222,085) | | 273.86 | | (205,945) | | 270.80 | |
被没收 | (1,469) | | 458.46 | | (11,248) | | 349.44 | |
截至2021年12月31日已发行的有条件股票 | 452,205 | | 303.32 | | 297,001 | | 416.07 | |
期权计划
自2017年以来,我们不再授予任何期权,但仍有未行使的期权可由员工行使。
会计政策
购股权于授出日期之公平值乃采用柏力克—舒尔斯期权估值模式估计。此柏力克—舒尔斯模式要求使用假设,包括预期股价波动、各奖励之估计年期及估计股息率。该模式所用无风险利率乃根据以欧元计值且信贷评级高且年期等于以股权结算以股份支付之预期年期之欧洲政府机构债券所填充之指数厘定。我们的期权计划通常授予 3—一年服务期,任何未行使的股票期权到期 10在授予日期之后的几年。授出之购股权之固定行使价相等于本公司于阿姆斯特丹泛欧交易所上市之股份于授出日期之收市价。按行使价购买股份乃透过扣除有关雇员薪金而与其结算,而行使购股权时发行股份则从库存股份中扣除。
有关已行使及尚未行使购股权之详情载于下表:
| | | | | | | | | | | | | | | | | | | | |
| 欧元计价 | 以美元计价 |
截至2013年12月31日的年度 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 |
| | | | | | |
股票期权行权日加权平均股价 | 201.52 | | 302.20 | | 583.33 | | 225.70 | | 355.44 | | 658.16 | |
行使股票期权的内在价值合计(单位:百万) | 4.3 | | 4.8 | | 5.7 | | 2.3 | | 3.7 | | 4.1 | |
现时可行使购股权之加权平均剩余合约年期(年): | 4.16 | 3.55 | 2.81 | 4.40 | 3.66 | 2.93 |
可行使股票期权的总内在价值(单位:百万) | 17.7 | | 22.4 | | 36.7 | | 11.8 | | 16.9 | | 24.9 | |
已发行股票期权的内在价值合计(单位:百万) | 17.7 | | 22.4 | | 36.7 | | 11.8 | | 16.9 | | 24.9 | |
截至2021年12月31日的股票期权数量和加权平均行使价格以及截至该日止年度的变化如下:
| | | | | | | | | | | | | | |
| *以欧元计价 | 以美元计价 |
| 数 选项 | 加权 平均值 行权价格 每股普通 份额(欧元) | 数 选项 | 加权 平均值 行权价格 每股普通 份额(美元) |
未偿还,2021年1月1日 | 68,540 | | 70.02 | | 42,255 | | 86.87 | |
授与1 | — | | — | | — | | — | |
已锻炼 | (10,717) | | 48.77 | | (7,004) | | 69.32 | |
被没收 | 100 | | 28.77 | | — | | — | |
过期 | — | | — | | — | | — | |
未清偿,2021年12月31日 | 57,923 | | 73.87 | | 35,251 | | 90.36 | |
可行使,2021年12月31日 | 57,923 | | 73.87 | | 35,251 | | 90.36 | |
1.自2017年起,我们不再向员工授予期权。
有关相关年度行使的股票期权以及截至2021年12月31日尚未行使的股票期权的详情载于下表:
| | | | | | | | | | | | | | | | | | | | |
欧元计价 | | 以美元计价 |
行权价格区间(欧元) | 而尚未行使的购股权数目 | 加权平均剩余合同期限(年) | | 行使价格范围(美元) | 而尚未行使的购股权数目 | 加权平均剩余合同期限(年) |
| | | | | | |
| | | | | | |
| | | | | | |
| | | | | | |
25 - 40 | 234 | | 0.08 | | 25 - 40 | — | | 0.00 |
40 - 50 | 5,902 | | 0.80 | | 40 - 50 | 291 | | 0.05 |
50 - 60 | 5,376 | | 1.95 | | 50 - 60 | 1,699 | | 0.62 |
60 - 70 | 12,355 | | 1.94 | | 60 - 70 | 393 | | 1.06 |
70 - 80 | 10,920 | | 3.35 | | 70 - 80 | 843 | | 1.30 |
80 - 90 | 11,625 | | 3.85 | | 80 - 90 | 9,036 | | 2.89 |
90 - 100 | 11,511 | | 3.69 | | 90 - 100 | 16,062 | | 3.02 |
100 - 110 | — | | 0.00 | | 100 - 110 | 6,927 | | 3.74 |
| | | | | | |
总计 | 57,923 | | 2.81 | | 总计 | 35,251 | | 2.93 |
员工购买计划
此外,我们还为我们的工资员工提供员工购买计划,但管理委员会除外,他们被排除在此计划的参与之外。通过该计划,发薪员工有机会使用每月的工资购买我们的股票。雇员可参与该计划的最高金额为 10.0年基本工资毛额的%。当雇员保留股份的最低限度, 12几个月后,我们将支付一个 20.0按初始参与金额计算的%现金奖金总额。
会计政策
雇员采购计划按权责发生制入账。雇员购买计划之股份按季度发行,股份购买价乃根据本公司上市股份于授出日期(即本公司季度申报后之日期)之收市价计算。员工购买的股份从我们的库存股中扣除。
2021年,ASML获得欧元49.0百万欧元(2020年:欧元37.9百万和2019年:欧元27.21000万元),为本计划发行股份。
21. 所得税
会计政策
所得税的核算采用资产负债法。根据这种方法,递延税项资产和负债按营业亏损和税项抵免结转的税项影响以及现有资产和负债的资产负债表账面金额与各自税基之间的差额应占的税项影响予以确认。如果递延税项资产的账面价值很可能不会变现,则就差额计入估值拨备。所得税支出包括利润的当期和递延税、相关利息和罚金、符合所得税条件的不可收回的预扣税,以及集团公司当期和预期股息收入的实际或潜在预扣税。
递延税项资产及负债采用制定税率计量,预计适用于预计收回或结算暂时性差异、净营业亏损及税项抵免结转的年度的应纳税所得额。税率变动对递延税项资产和负债的影响在包括颁布日期在内的期间的综合经营报表中确认。最初通过保监处确认的递延所得税,在保监处将关联项目从保监处发布到损益表时,在未来期间的收益中循环使用。
我们根据两个步骤评估未确认的税务优惠。第一步是评估税务状况以供确认,方法是确定现有证据的权重是否显示该状况在审计中较有可能维持,包括解决相关上诉或诉讼程序(如有)。第二步是将税收优惠作为结算时可能实现的50%以上的最大金额来衡量。虽然我们相信我们对纳税申报表所采取的立场有适当的支持,但我们会定期评估税务机关在确定所得税开支是否充足时的潜在审查结果,并在导致修订的事实被知晓的期间内调整所得税开支、应付所得税及递延税项。
所得税正在影响我们的综合经营报表、综合全面收益表和综合资产负债表。因此,所得税的披露分为:
•所得税开支
•未确认的税收优惠的责任
•递延税金
所得税费用
所得税费用的构成如下:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
荷兰 | 2,441.2 | | 3,574.6 | | 5,982.8 | |
外国 | 324.6 | | 442.0 | | 722.7 | |
所得税前收入 | 2,765.8 | | 4,016.6 | | 6,705.5 | |
| | | |
所得税费用流动 | (305.5) | | (407.7) | | (865.0) | |
递延所得税费用 | 74.8 | | 1.4 | | (28.6) | |
所得税支出荷兰 | (230.7) | | (406.3) | | (893.6) | |
| | | |
所得税费用流动 | (118.4) | | (375.3) | | (523.5) | |
递延所得税费用 | 157.4 | | 230.1 | | 395.7 | |
国外所得税费用 | 39.0 | | (145.2) | | (127.8) | |
| | | |
所得税总支出当期 | (423.9) | | (783.0) | | (1,388.5) | |
递延所得税费用总额 | 232.2 | | 231.5 | | 367.1 | |
所得税总支出 | (191.7) | | (551.5) | | (1,021.4) | |
本期和递延税项支出可以进一步细分为:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
本年度税费支出 | (470.6) | | (743.7) | | (1,367.2) | |
上年税费支出 | 46.7 | | (39.3) | | (21.3) | |
当期税费 | (423.9) | | (783.0) | | (1,388.5) | |
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
税收损失和税收抵免确认的变更 | 7.6 | | (56.9) | | (37.2) | |
| | | |
上年税费支出 | 9.8 | | 27.0 | | (2.4) | |
税率变动 | — | | 15.0 | | 1.5 | |
暂时性差异、税收损失和税收抵免的产生和冲销 | 214.8 | | 246.4 | | 405.2 | |
递延税费 | 232.2 | | 231.5 | | 367.1 | |
荷兰法定税率为 25.02021年、2020年和2019年。其他司法权区之税项乃按相关司法权区之现行税率计算。
有效税率提高至 15.2%2021年,相比 13.72020年%。较高的比率主要是由于荷兰的创新箱比率从2021年的7%上升至9%。
所得税开支与荷兰法定税率与实际所得税税率的对账如下:
| | | | | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | %1 | 2020 | %1 | 2021 | %1 |
所得税前收入 | 2,765.8 | | 100.0 | % | 4,016.6 | | 100.0 | % | 6,705.5 | | 100.0 | % |
基于ASML国内税率的所得税费用 | (691.4) | | 25.0 | % | (1,004.1) | | 25.0 | % | (1,676.4) | | 25.0 | % |
外国司法管辖区税率的影响 | 5.0 | | (0.2) | % | 0.9 | | — | % | (4.6) | | 0.1 | % |
免税收入的调整 | 7.2 | | (0.3) | % | 0.2 | | — | % | — | | — | % |
有关税务优惠的调整 | 351.0 | | (12.7) | % | 510.4 | | (12.7) | % | 727.3 | | (10.8) | % |
对前几年当期税额的调整 | 46.7 | | (1.7) | % | (39.3) | | 1.0 | % | (21.3) | | 0.3 | % |
对前几年递延税项的调整 | 9.8 | | (0.4) | % | 27.0 | | (0.7) | % | (2.4) | | — | % |
未确认税收优惠负债的变动 | (16.9) | | 0.6 | % | (41.0) | | 1.0 | % | (21.6) | | 0.3 | % |
与收购/重组有关的项目的税收影响 | 89.8 | | (3.2) | % | — | | — | % | 35.9 | | (0.5) | % |
更改估值免税额 | 7.6 | | (0.3) | % | (56.9) | | 1.4 | % | (37.2) | | 0.6 | % |
权益法投资 | (19.7) | | 0.7 | % | (20.9) | | 0.5 | % | (46.7) | | 0.7 | % |
税率变动的影响 | — | | — | % | 15.0 | | (0.4) | % | 1.5 | | — | % |
其他(抵免)和非税可抵扣项目 | 19.2 | | (0.7) | % | 57.2 | | (1.4) | % | 24.1 | | (0.4) | % |
所得税费用 | (191.7) | | 6.8 | % | (551.5) | | 13.7 | % | (1,021.4) | | 15.2 | % |
1.作为所得税前收入的百分比。
下表中的各个行项目将在下面进行更详细的说明。
基于ASML国内税率的所得税费用
基于ASML国内税率的所得税支出基于荷兰法定所得税税率。它反映了本应适用的所得税支出,假设我们的所有收入都应按荷兰法定税率纳税,应纳税基数和财务结果之间没有差异,也没有适用税收优惠。
外国法域税率的影响
我们的部分成果是在荷兰以外适用不同税率的国家实现的。有关影响每年可能不同,视乎有关海外司法权区之除税前溢利而定。
免税收入的调整
在过去的几年里,在某些司法管辖区,产生的部分收入是免税的。随着事实和情况的变化,这一影响在2020年显着减弱,并在2021年保持稳定。
有关税务优惠的调整
税收优惠方面的调整主要是由于荷兰创新盒的应用而降低了税率,这是荷兰公司税法下的一项机制,根据该机制,与研发相关的合格收入在2021年的实际税率为9.0%。2020年和2019年的有效创新盒子税率为7%。创新盒子福利是根据荷兰法律和公布的税收政策确定的,根据该政策,申请已在ASML与荷兰税务当局之间的协议中得到确认,该协议适用于2023年之前的几年,前提是事实和情况不变。
此外,这一类别还包括适用于我们美国集团公司层面的外国衍生无形收入(FDII)扣除的利益。FDII抵扣是美国公司税法下的一项工具,它降低了来自外国市场有形和无形产品和服务的收入的实际税率。
2021年的收入高于往年,主要是由于我们荷兰集团公司税前收益水平的提高导致创新箱收益的增加。
对前几年当期税额的调整
与往年当期税项有关的调整涉及最初估计的所得税与提交的最终企业所得税申报表或与税务机关商定的安排之间的差额。
2019年的好处主要与FDII扣除有关,这是我们在2018年首次在美国提交的税务申报中考虑到的。
对前几年递延税项的调整
与前几年递延税项有关的调整变动也主要与最初估计的所得税和提交的最终企业所得税申报单之间的差异有关。此外,它还包括对最初记录的递延税金头寸的一些较小的调整。
未确认税务优惠负债的变动
2021年,与前几年类似,实际税率受到未确认税收优惠负债变动的影响。2021年的这一趋势主要是由即将与荷兰和外国税务当局就转让定价领域进行的对话,以及我们美国集团公司在FDII扣减方面的不确定性推动的。
与收购/重组有关的项目的税收影响
2019年的影响是由我们在当年完成的HMI集团公司的内部重组推动的。作为重组的结果,一项递延税项资产在2019年被确认为作为重组的一部分转移的无形固定资产的账面与税项差异。在2020年和2021年,这一重组不会对实际税率产生额外影响。
2021年的影响涉及部分柏林玻璃实体的撤资,因此商业交易结果在很大程度上是免征所得税的.
更改估值免税额
2020年和2021年的影响比2019年更高,主要是由于我们在荷兰和美国的集团公司在年内确认了研发和预提税收抵免,因此认为这些在未来几年实现的可能性不会更大。
权益法投资
本行包括与我们在卡尔·蔡司SMT Holding GmbH&Co.Kg投资有关的所得税支出。与往年相比,2021年的影响有所增加,主要原因是权益法投资的利润增加,以及权益投资的外部基差调整后的税务会计后果。
税率变动的影响
对2021年有效税率的影响是由于荷兰颁布的自2022年起将一般企业所得税税率提高至25.8%,这影响了我们荷兰财政统一的递延税项资产和负债的估值。
其他抵免和非税额可抵扣项目
其他抵免及非可抵税项目反映了不可抵扣的预扣税、不可抵扣的共享支付费用和不可抵扣的餐饮和娱乐费用等永久性非税收可抵扣项目对我们法定税率的影响,以及各种税收抵免对我们所得税支出的影响。
美国税改
年终税收状况也反映了2017年美国税改的规定,从而考虑到了美国政府发布的指导意见。因此,FDII最终条例的最新指南已从2021年起适用,而不是上述条例所允许的追溯。关于GILTI和BEAT,已决定将其作为长期项目对待。
未确认的税收优惠和递延税款的负债
综合资产负债表所记录的未确认税项利益及相关应计利息和罚款及递延税项总额负债如下:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
未确认税收优惠的负债 | (200.4) | | (205.9) | |
递延税项资产 | 671.5 | | 1,098.7 | |
递延税项负债 | (37.9) | | (34.7) | |
递延及其他税项资产(负债) | 433.2 | | 858.1 | |
未确认税收优惠的负债
我们在多个司法管辖区都有业务,在这些司法管辖区,我们受到复杂税法的适用。适用这些复杂的税法可能会导致税收状况的不确定性。我们的目标是在与税务当局的讨论中解决这些不确定因素。我们根据ASC 740的要求记录未确认的税收优惠,这要求我们估计任何税收状况的潜在结果。我们对任何不确定的税收状况的潜在结果的估计都具有高度的判断性。我们相信,我们已经为不确定的税收状况做了足够的准备。然而,以与我们的预期不符的方式结算这些不确定的税务状况可能会对我们的综合财务报表产生实质性影响。
根据ASC 740的要求,截至2021年12月31日,未确认的税收优惠以及相关利息和罚款的负债为欧元205.9百万欧元(2020年:欧元200.4百万美元),归类为递延和其他所得税负债。如果确认,这些未确认的税收优惠将影响我们的有效税率约为欧元190.9百万福利(2020年:欧元151.7百万福利)。
与所得税负债相关的预期利息和罚款已累计并计入未确认税收福利负债和所得税费用中。 2021年应计利息和罚款NT的好处,9.7百万 (2020: €14.2百万福利; 2019年:欧元9.0百万开支)。
未确认税务利益负债(不包括利息及罚款)的期初及期末结余对账如下:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
截至1月1日的结余 | (150.7) | | (138.0) | |
不同税务管辖区的总列报 | (27.3) | | — | |
毛收入增长--上期税收状况 | (66.6) | | (21.6) | |
毛减--上期税务头寸 | 0.5 | | 8.9 | |
增加总额--本期税收状况 | (21.6) | | (18.8) | |
| | |
聚落 | 106.6 | | 2.5 | |
诉讼时效失效 | 14.5 | | 32.0 | |
汇率变动的影响 | 6.6 | | (9.3) | |
未确认的税收优惠负债共计 | (138.0) | | (144.3) | |
应计利息和罚款余额 | (62.4) | | (61.6) | |
未确认税收优惠的总负债,包括利息和罚款 | (200.4) | | (205.9) | |
我们得出结论,我们对未确认的税收优惠的责任是适当的。根据现有信息,我们估计未确认税收优惠的负债为将减少 €23.8百万(不包括利息和罚款)在下一个12几个月,主要是由于诉讼时效到期。
2020年,前期税务状况毛额增加和结算主要与韩国集团公司层面的税务审计的最终确定有关。
我们在所有开展业务的国家提交所得税申报单,荷兰、美国、台湾、韩国和中国是主要司法管辖区。各司法管辖区的报税表仍可供查阅的年份如下:
| | | | | |
国家 | 年份 |
荷兰 | 2018-2021 |
我们 | 2015-2021 |
台湾 | 2016-2021 |
韩国 | 2017-2021 |
中国 | 2011-2021 |
我们经常接受我们经营所在的各个司法管辖区的税务及其他机关的检查及审计。吾等相信,已就足够金额的税项及相关利息及罚款作出拨备,而因检查而作出的任何调整预期不会造成重大不利影响。
递延税金
递延税项资产及负债总额与综合资产负债表分类对账如下:
| | | | | | | | | | | | | | | | | | | | | |
递延税金(欧元,百万欧元) | 2021年1月1日 | | 学分和其他 | 已整合 陈述 的 运营 | 其他综合收益确认的所得税 | 影响范围: 变化 作为交换 费率 | 2021年12月31日 |
递延税项资产: | | | | | | | |
资本化R&D支出 | 287.1 | | | — | | 106.8 | | — | | 26.5 | | 420.4 | |
研发和其他信贷结转 | 117.2 | | | 21.4 | | 16.4 | | — | | 7.7 | | 162.7 | |
盘存 | 37.2 | | | — | | (7.2) | | — | | 1.5 | | 31.5 | |
递延收入 | 125.2 | | | — | | 288.0 | | — | | 10.0 | | 423.2 | |
应计负债和其他负债 | 87.8 | | | — | | 5.7 | | — | | 4.6 | | 98.1 | |
安装和保修储备 | 16.4 | | | — | | (6.3) | | — | | 1.2 | | 11.3 | |
税收影响结转损失 | 27.1 | | | — | | (19.9) | | — | | 0.2 | | 7.4 | |
财产、厂房和设备 | 26.9 | | | — | | (10.8) | | — | | 2.5 | | 18.6 | |
租赁负债 | 6.5 | | | — | | 16.2 | | — | | 0.5 | | 23.2 | |
无形固定资产 | 143.5 | | | — | | — | | — | | — | | 143.5 | |
| | | | | | | |
| | | | | | | |
基于股份的支付 | 7.2 | | | — | | 1.8 | | — | | 0.6 | | 9.6 | |
其他暂时性差异 | 23.9 | | | — | | 7.5 | | (1.0) | | (2.9) | | 27.5 | |
递延税项资产总额(毛额) | 906.0 | | | 21.4 | | 398.2 | | (1.0) | | 52.4 | | 1,377.0 | |
估值免税额1 | (122.5) | | | — | | (37.2) | | — | | (7.9) | | (167.6) | |
递延税项总资产,净额 | 783.5 | | | 21.4 | | 361.0 | | (1.0) | | 44.5 | | 1,209.4 | |
递延税项负债: | | | | | | | |
无形固定资产 | (93.9) | | | 2.9 | | 17.1 | | — | | (6.0) | | (79.9) | |
商誉 | (15.6) | | | — | | (5.3) | | — | | — | | (20.9) | |
使用权资产 | (6.5) | | | — | | (16.2) | | — | | (0.5) | | (23.2) | |
财产、厂房和设备 | (5.4) | | | — | | (4.3) | | — | | (1.2) | | (10.9) | |
递延收入 | (18.2) | | | — | | 10.3 | | — | | — | | (7.9) | |
借贷成本长期债务 | (1.6) | | | — | | 0.1 | | — | | — | | (1.5) | |
其他暂时性差异 | (8.7) | | | 2.5 | | 4.4 | | — | | 0.7 | | (1.1) | |
递延税项负债总额 | (149.9) | | | 5.4 | | 6.1 | | — | | (7.0) | | (145.4) | |
递延税项净资产(负债) | 633.6 | | | 26.8 | | 367.1 | | (1.0) | | 37.5 | | 1,064.0 | |
分类为: | | | | | | | |
| | | | | | | |
递延税项资产--非流动 | 671.5 | | | | | | | 1,098.7 | |
递延税项负债--非流动 | (37.9) | | | | | | | (34.7) | |
递延税项净资产(负债) | 633.6 | | | | | | | 1,064.0 | |
1.上述披露的估值备抵与可能无法实现的研发和其他抵免以及税收影响结转损失有关。
| | | | | | | | | | | | | | | | | | | | | |
递延税金(欧元,百万欧元) | 2020年1月1日 | 通过业务合并进行收购 | | 已整合 陈述 的 运营 | 其他综合收益确认的所得税 | 影响范围: 变化 作为交换 费率 | 2020年12月31日 |
递延税项资产: | | | | | | | |
资本化R&D支出 | 192.9 | | — | | | 117.3 | | — | | (23.1) | | 287.1 | |
研发和其他信贷结转 | 60.8 | | — | | | 63.7 | | — | | (7.3) | | 117.2 | |
盘存 | 49.3 | | — | | | (9.0) | | — | | (3.1) | | 37.2 | |
递延收入 | 56.8 | | — | | | 70.8 | | — | | (2.4) | | 125.2 | |
应计负债和其他负债 | 73.4 | | 3.8 | | | 15.9 | | — | | (5.3) | | 87.8 | |
安装和保修储备 | 12.3 | | — | | | 5.4 | | — | | (1.3) | | 16.4 | |
税收影响结转损失 | 12.5 | | — | | | 15.3 | | — | | (0.7) | | 27.1 | |
财产、厂房和设备 | 32.8 | | 0.8 | | | (7.0) | | — | | 0.3 | | 26.9 | |
租赁负债 | 8.1 | | — | | | (1.6) | | — | | — | | 6.5 | |
无形固定资产 | 129.8 | | — | | | 13.7 | | — | | — | | 143.5 | |
| | | | | | | |
| | | | | | | |
基于股份的支付 | 8.5 | | — | | | (0.6) | | — | | (0.7) | | 7.2 | |
其他暂时性差异 | 20.3 | | | | 1.9 | | 0.6 | | 1.1 | | 23.9 | |
递延税项资产总额(毛额) | 657.5 | | 4.6 | | | 285.8 | | 0.6 | | (42.5) | | 906.0 | |
估值免税额 1 | (73.6) | | — | | | (56.9) | | — | | 8.0 | | (122.5) | |
递延税项总资产,净额 | 583.9 | | 4.6 | | | 228.9 | | 0.6 | | (34.5) | | 783.5 | |
递延税项负债: | | | | | | | |
无形固定资产 | (104.2) | | (8.9) | | | 11.0 | | — | | 8.2 | | (93.9) | |
商誉 | (6.6) | | — | | | (9.0) | | — | | — | | (15.6) | |
使用权资产 | (8.1) | | — | | | 1.6 | | — | | — | | (6.5) | |
财产、厂房和设备 | (15.3) | | (1.9) | | | 10.9 | | — | | 0.9 | | (5.4) | |
递延收入 | (13.1) | | — | | | (5.1) | | — | | — | | (18.2) | |
借贷成本长期债务 | (1.5) | | — | | | (0.1) | | — | | — | | (1.6) | |
其他暂时性差异 | 2.9 | | (5.7) | | | (6.7) | | — | | 0.8 | | (8.7) | |
递延税项负债总额 | (145.9) | | (16.5) | | | 2.6 | | — | | 9.9 | | (149.9) | |
递延税项净资产(负债) | 438.0 | | (11.9) | | | 231.5 | | 0.6 | | (24.6) | | 633.6 | |
分类为: | | | | | | | |
| | | | | | | |
递延税项资产--非流动 | 445.3 | | | | | | | 671.5 | |
递延税项负债--非流动 | (7.3) | | | | | | | (37.9) | |
递延税项净资产(负债) | 438.0 | | | | | | | 633.6 | |
1.上述披露的估值备抵与可能无法实现的研发和其他抵免以及税收影响结转损失有关。
税收影响结转损失和税收抵免
截至2021年12月31日确认的结转亏损和研发及其他抵免的递延所得税资产几乎已全部保留。研发和其他积分金额为欧元135.8百万没有到期日期。剩余研发和其他学分欧元26.9百万美元的有效期在2022年至2036年之间。欧元的结转损失48.2百万美元的有效期在2022年至2030年之间。
与海外子公司投资有关的未确认递延税项负债
一般来说,我们的做法和意图是无限期地将非荷兰子公司的收益再投资于这些业务,并仅在严格必要或适时且法律允许的情况下进行分配。此类非荷兰子公司分配的税收影响取决于实际分配时适用的当地税收和会计法规。由于这些无法实际确定, 不是已就外国子公司的未分配利润储备确认递延所得税负债。截至2021年12月31日,未确认暂时性差异总额约为欧元283.43.8亿(2020: €240.0(亿美元)。
22. 股东权益
股本
ASML的法定股本为欧元126.0 100万,分为:
| | | | | | | | | | | |
股份类型 | 股份的数额 | 名义价值 | 每股投票权 |
累计优先股 | 700,000,000 | €0.09每股 | 9 |
普通股 | 699,999,000 | €0.09每股 | 9 |
普通股B | 9,000 | €0.01每股 | 1 |
面值为欧元的已发行及缴足普通股0.09每一项都如下:
| | | | | | | | | | | |
截至十二月三十一日止的年度 | 2019 | 2020 | 2021 |
面值为欧元的已发行普通股0.09 | 419,810,706 | | 416,514,034 | | 402,601,613 | |
已发行普通库存股,面值为欧元0.09 | 5,848,998 | | 2,983,454 | | 3,873,663 | |
面值为欧元的已发行普通股总数0.09 | 425,659,704 | | 419,497,488 | | 406,475,276 | |
82,915,935普通股由286在美国注册地址的注册持有人。由于我们的若干普通股由经纪及代名人持有,美国纪录持有人的数目未必代表实益持有人的数目或实益持有人的居住地。
每股普通股由以下部分组成900零碎股份。零碎股份赋予其持有人享有零碎股息的权利,但并不赋予其投票权。只有直接在荷兰股份登记册持有股份的人士,由我们在我们的地址为5504 DR Veldhoven,de Run 6501,the Netherlands持有,或在纽约股份登记册中由JP Morgan Chase Bank,N.A.持有,P.O. Box 64506,St. Paul,MN 55164—0506,美国,可以持有零碎股份。股东通过荷兰中央证券存管机构Euroclear Nederland维持的荷兰证券银行转罗交易法下的存款系统或通过存管信托公司持有普通股,不能持有零碎股份。
不是普通股B和 不是已发行累计优先股。
本集团股本中已发行股份并无特别投票权。
2012年,我们向三家主要客户-英特尔、台积电和三星-发行了股票,作为客户共同投资计划(CCIP)的一部分,以加快ASML对EUV的开发。根据这一计划,参与客户为某些开发项目提供资金,并投资于ASML的普通股。目前,只有一个参与客户仍然(直接或间接)持有普通股。某些投票权限制适用于与CCIP相关的普通股。有关该等普通股的投票限制载于ASML与有关客户之间的相关协议。T在CCIP中发行的股份由向CCIP参与者发行存托凭证的基金持有。共 96,566,077普通股存托凭证于CCIP推出时发行。该数字自禁售期届满后随相关客户抛售而减少。
目前,根据荷兰法律或ASML的公司章程,ASML股本中的普通股转让没有限制。根据ASML的公司章程,每次转让累积优先股都需要监事会的批准。
发行和回购股份(权利)
本公司董事会有权发行普通股及累积优先股,惟须获股东大会授权。管理委员会需要得到监事会的批准才能解决这个问题。大会的授权只能在不超过五年的一定期限内给予,每次可以延长不超过五年。如果股东大会没有授权管理委员会发行股票,股东大会将被授权根据管理委员会的提议发行股票,前提是监事会批准了这种提议。
ASML普通股的持有人拥有优先购买权,优先购买权与他们持有的普通股的总面值成比例。这种优先购买权可以受到限制或排除。普通股持有人对除现金或向雇员发行的普通股以外的任何以对价发行的普通股没有优先购买权。如果股东大会为此授权,管理委员会经监事会批准,有权限制或排除普通股持有人的优先购买权。
在我们的2021年年度股东大会上,管理委员会被授权在2021年4月29日至2022年10月29日期间,根据监事会的批准,发行股份和/或其权利,最高可达52021年4月29日我们已发行股本的%,外加额外的5截至2021年4月29日,我们已发行股本的百分比可能与合并、收购和/或(战略)联盟有关。我们的股东还授权管理委员会在2022年10月29日之前,根据监事会的批准,限制或排除普通股持有人的优先购买权
最多 5与一般授权发行股份及/或股份权利有关的已发行股本的%,外加额外的5%与授权发行股份及/或与合并、收购及/或(战略)联盟有关的股份权利有关。
本公司可随时购回已发行普通股,惟须遵守荷兰法律及本公司章程的规定。任何此类回购须经监事会批准和股东大会授权,授权期限不得超过18个月。
于2021年股东周年大会上,管理委员会获授权于2022年10月29日前进行回购,回购金额最多为2021年4月29日已发行股本的两倍10%,回购价格介乎所购普通股面值与110该等证券在阿姆斯特丹泛欧交易所或纳斯达克市场价格的%。
ASML优先股基金会
根据荷兰法律成立的ASML优先股基金会(Stichting Pferente Aandelen ASML)已被授予获得ASML股本中优先股的选择权。基金会可在基金会董事会认为涉及ASML的利益、ASML的业务或ASML的利益相关者的利益的情况下行使优先股选择权。在以下情况下可能会出现这种情况:
•对ASML股票的公开竞购被宣布或提出,或者有理由预期将在没有与ASML就此类竞购达成任何协议的情况下进行此类竞购;或
•基金会董事会认为,一个或多个股东联合行动(企图)行使投票权,与ASML的利益、ASML的业务或ASML的利益相冲突。
基金会的目标是照顾到反兴奋剂机构及其所维持和/或隶属于该集团的企业的利益,以尽可能好的方式维护该协会、这些企业和所有相关方的利益,并尽其所能阻止与这些利益相冲突的影响,这可能会影响到该协会和这些公司的独立性或身份,以及与上述有关或可能对其有利的一切。基金会旨在通过收购和持有ASML资本中的累积优先股以及行使这些股份所附带的权利,特别是投票权来实现其目标。
优先股购股权赋予基金会获得基金会所需数目的累积优先股的权利,但该数目的累积优先股的总面值不得超过行使优先股选择权时发行的普通股的总面值。认购价将等于它们的名义价值。在最初发行累积优先股时,只需支付认购价的四分之一,其余四分之三的面值仅在ASML召回这一金额时支付。行使优先股选择权可有效稀释已发行普通股的投票权一半。
ASML注销和偿还已发行的累积优先股需要得到股东大会的授权,由管理委员会提出并经监事会批准的相关提议。如果优先股选择权被行使,并因此发行累积优先股,ASML将应基金会的要求,启动回购或取消基金会持有的所有累积优先股。在这种情况下,ASML有义务尽快实施回购和相应的取消。注销将导致偿还已支付的金额,并免除支付累积优先股的义务。只有在累计优先股全部缴足股款后,才能进行回购。
如果基金会没有要求ASML回购或注销基金会持有的所有累积优先股, 20于该等股份发行数月后,吾等将须召开股东大会以决定购回或注销该等股份。
该基金会独立于ASML。基金会董事会由来自荷兰商界和学术界的四名独立成员组成。基金会董事会于2021年12月31日由下列成员组成:A.P.M.范德普尔先生、S.Perrick先生、A.H.Lundqvist先生和J.Streppel先生。
除上述与基金会作出的安排外,ASML尚未建立任何其他反收购装置。
股利政策
ASML的目标是分配股息,这种股息将随着时间的推移而增长,每半年支付一次。管理委员会每年在得到监事会事先批准后,考虑到任何中期股息分配,向年度股东大会提交一份关于上一年度宣布的股息数额的建议。任何给定年度的股息建议将受到可分配利润、留存收益和现金的可用性的影响,并可能受到我们对未来潜在流动性需求的看法的影响,包括产能投资、营运资本需求、我们研发计划的资金以及可能不时出现的收购机会。
ASML打算宣布总分歧截至2021年€5.50每股普通股。确认中期股息欧元1.802021年11月支付的每股普通股,这导致向股东大会提交末期股息建议 €3.70每股普通股。2021年的总股息是100%增加COM削减了2020年欧元的总股息2.75每股普通股。
普通股股息须先从任何已发行累计优先股的净收入中支付(累计)股息后,自股东周年大会采纳的财务报表所示净收入或保留盈利中支付。
购买股本证券
除派付股息外,我们拟透过股份回购或资本偿还定期向股东返还现金,惟须视乎我们实际及预期的流动资金需求水平及其他相关因素而定。
2021年7月21日,我们宣布了一项新的股票回购计划,将于2023年12月31日之前执行。作为该计划的一部分,ASML打算回购最高金额为欧元的股票9 10亿美元,我们预计总数高达, 0.45 百万股将用于支付雇员股份计划。ASML拟注销其余购回股份。新计划取代了以前的欧元6根据新的股票回购计划,2020-2022年尚未全额完成的10亿股票回购计划。
在2021年,我们回购了 14,358,838股票(2020年: 3,908,429股份),总代价为欧元8,560.3百万欧元(2020年:欧元1,207.5 其中, 6,601,699股份,代价为欧元4,560.3在新计划下购买了100万美元。2021年我们取消了 13,023,016股票(2020年: 6,162,395股票被取消),其中 9,759,021根据2020-2022年计划回购了股票, 3,263,995根据2021-2023年计划回购了股票。
股票回购计划可能随时暂停、修改或终止。
下表提供了ASML 2021年回购的股份摘要:
| | | | | | | | | | | | | | |
期间 | 购买的股份总数 | 每股平均支付价格(欧元) | 根据计划购买的股份总数 | 可能尚未购买的股票的最高价值 (百万欧元) |
2021年1月21日至31日 | 495,533 | | 455.68 | | 495,533 | | 4,566.7 | |
2021年2月1日至28日 | 1,360,410 | | 474.24 | | 1,855,943 | | 3,921.6 | |
2021年3月1日至31日 | 1,580,604 | | 469.40 | | 3,436,547 | | 3,179.6 | |
2021年4月1日至30日 | 1,128,123 | | 537.04 | | 4,564,670 | | 2,573.8 | |
2021年5月1日至31日 | 1,240,714 | | 528.93 | | 5,805,384 | | 1,917.5 | |
2021年6月1日至30日 | 1,204,128 | | 570.95 | | 7,009,512 | | 1,230.0 | |
2021年7月1日至31日 | 1,178,129 | | 603.46 | | 8,187,641 | | 8,726.6 | |
2021年8月1日至31日 | 1,274,521 | | 674.28 | | 9,462,162 | | 7,867.2 | |
2021年9月1日至30日 | 1,188,430 | | 723.11 | | 10,650,592 | | 7,007.8 | |
2021年10月1日至31日 | 1,237,721 | | 658.97 | | 11,888,313 | | 6,192.2 | |
2021年11月1日至30日 | 1,393,794 | | 726.43 | | 13,282,107 | | 5,179.7 | |
2021年12月1日至23日 | 1,076,731 | | 687.26 | | 14,358,838 | | 4,439.7 | |
| | | | |
总计 | 14,358,838 | | 596.17 | | | |
23. 每股普通股净收益
每股普通股基本净收入乃按净收入除以该期间已发行普通股加权平均数计算。
摊薄影响乃采用库存股法计算,方法为净收入除以该期间已发行普通股加权平均数,加上适用于购股权及有条件股份的股份(具摊薄作用的潜在普通股)。计算每股普通股摊薄净收益时并无假设行使购股权,而行使购股权会产生反摊薄影响。已发行股份之摊薄加权平均数计算不包括向优先股基金会或有发行之累计优先股,原因为该等累计优先股代表与普通股不同类别之股份。
每股普通股基本及摊薄净收益计算如下:
| | | | | | | | | | | |
截至12月31日的年度(欧元,单位为百万,不包括每股数据) | 2019 | 2020 | 2021 |
净收入 | 2,592.3 | | 3,553.7 | | 5,883.2 | |
| | | |
加权平均流通股数 | 420.8 | | 418.3 | | 409.8 | |
每股普通股基本净收益 | 6.16 | | 8.49 | | 14.36 | |
| | | |
加权平均流通股数 | 420.8 | | 418.3 | | 409.8 | |
适用于期权及有条件股份的加价股份 | 0.9 | | 0.8 | | 0.6 | |
稀释加权平均股数 | 421.6 | | 419.1 | | 410.4 | |
每股普通股摊薄净收益 | 6.15 | | 8.48 | | 14.34 | |
24. 由于某些浓度而导致的脆弱性
我们依赖外部供应商提供我们系统中使用的组件和配件,包括其设计,每个组件和配件均从单一供应商或有限数量的供应商处获得。我们依赖有限的供应商群体涉及多项风险,包括可能无法获得所需组件的充足供应、对定价的控制减少以及该等组件和配件不能及时交付的风险。
25. 金融风险管理
我们面临若干财务风险,例如外汇风险、利率风险、信贷风险、流动资金风险及资本风险。我们的整体风险管理计划专注于金融市场的不可预测性,并力求尽量减少对我们财务表现的潜在不利影响。我们的风险管理计划适当关注当前金融市场不确定的环境。
我们风险管理计划的一个关键要素是我们长期坚持的审慎融资政策,该政策基于三个基本要素:
•流动性:保持金融稳定,目标是将我们的现金和现金等价物以及短期投资保持在欧元的最低范围以上2.0兑欧元2.5十亿
•资本结构:维持一个目标是稳定的投资级信用评级的资本结构
•现金回报:提供可持续的每股股息,每半年支付一次,随着时间的推移而增长,同时通过股票回购或资本偿还将多余的现金返还给股东
我们使用衍生金融工具对冲若干风险。该等交易概无为交易或投机目的而订立。我们使用市场资料厘定衍生金融工具的公平值。
外币风险管理
我们面临着汇率风险。我们的财务报表是以欧元表示的。因此,我们的经营结果受到欧元与其他货币之间汇率波动的影响。货币汇率的变化可能会导致我们的财务报表出现亏损。我们特别容易受到美元与欧元汇率波动的影响,而日元、韩元、台币和人民币相对于欧元的汇率波动程度较小。我们的销售成本主要以欧元计价,部分也以美元和新台币计价。我们的一小部分经营业绩是由欧元、美元、日元、韩元、台币或人民币以外的货币变动推动的。一般而言,我们的客户以美元经营业务,因此美元兑欧元的疲软可能会影响我们的客户以报价购买我们产品的能力或意愿。
外汇敏感度
下表详述我们对外币兑欧元升值10. 0%的敏感度。敏感度分析包括以外币计值的未偿还货币项目,并就外币汇率升值10. 0%调整其于期末的换算。正数表示净收入或权益增加。
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
| 对净收入的影响 | 对以下方面的影响 股权 | 对净收入的影响 | 对中国的影响 股权 |
美元 | (4.3) | | 34.4 | | (6.9) | | 51.5 | |
日元 | (13.4) | | — | | (2.2) | | (32.9) | |
台币 | 1.3 | | — | | (3.7) | | — | |
其他货币 | (3.9) | | — | | 6.2 | | — | |
总计 | (20.3) | | 34.4 | | (6.6) | | 18.6 | |
我们的政策是限制货币汇率波动对我们综合经营报表的影响。对净收入的影响反映了我们在2021年底对欧元以外货币的净敞口。上表所列2021年对净收入的负面影响主要归因于风险敞口的产生和对冲之间的时间差异。
为进行美元交易而进行的现金流量对冲的公允价值变动的影响在权益中确认。与2020年相比,2021年美元对股权的影响是未偿还购买对冲增加的结果。与2020年相比,2021年日元对股权的影响是由于芯片需求强劲增长导致未偿还销售对冲增加的结果。
倘外币兑欧元贬值10. 0%,则对净收入及权益产生大致相等但相反的影响。
外币风险政策
我们的政策是对冲重大交易风险,例如预测销售及采购交易。我们透过使用远期外汇合约对冲该等风险。
外汇合约
截至2021年12月31日,未平仓远期外汇合约的名义本金主要以美元、日元、台币、韩元和人民币计价。美元0.6十亿, 日元44.5十亿,台币2.5十亿美元,KRW11.9十亿元和人民币0.6十亿(2020年: 美元0.4十亿,日元15.5十亿,台币0.510亿,KRW亿美元和CNY 0.4十亿美元)。
以外币计价的对冲高可能性预测交易预计将在未来12个月内的不同日期发生。在保监处就包括在套期保值关系中的远期外汇合同确认的损益,将在受套期保值预测交易影响综合经营报表的期间在综合经营报表中确认。
2021年,我们确认净利润转移至 €22.2百万损失 (2020: €2.3百万收益; 2019年:欧元10.7于综合经营报表中,本年度发生之预测销售及采购交易之有效现金流量对冲所产生之亏损(百万元收益)。此外,我们确认净额为 €7.9百万亏损IN按公允价值通过损益计量的衍生金融工具产生的合并经营报表(2020年:欧元28.2百万收益; 2019年:欧元12.0亏损),主要由对冲货币项目的重估所抵销。
保监处平衡外汇合约中金融工具的未实现损益
未清偿累计保监处结余金融工具的未实现损益包括:
•以外币计价的预测购买交易的未完成预期损益。截至2021年12月31日,未偿还累计OCI包括欧元20.8百万美元,代表将计入销售成本的预期收益总额(2020:亏损欧元26.1百万欧元和2019年:增加欧元2.1百万欧元),(税后净额:2021年:收益欧元17.7百万;2020年:损失欧元22.7百万欧元;2019年:获得欧元1.8百万美元),这将抵消以外币计价的预计购买交易的欧元等值。预计所有金额将在明年发放, 12月份。
•销售应实现的未完成预期亏损。截至2021年12月31日,未偿还累计OCI包括亏损欧元1.2百万欧元(2020年:获得欧元0.4百万欧元;2019年:亏损欧元1.2百万美元),表示将计入销售的预期亏损总额。
我们应用套期保值会计的所有合同的有效性在整个套期保值的整个生命周期内都受到季度监测。在2021年、2020年和2019年期间, 不是人们认识到,对冲关系是无效的。
利率风险管理
我们有计息资产和负债,使我们通过利率掉期管理,使我们受到市场利率波动的影响。
利率敏感度
以下敏感度分析乃根据衍生金融工具及非衍生金融工具于结算日的利率风险而厘定,规定的变动于财政年度开始时发生,并于整个报告期内保持不变。下表显示了1.0我们的净收入和权益的利率增加了%。正数表示净收入和股本增加。
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
| 对净收入的影响 | 对以下方面的影响 股权 | 对净收入的影响 | 对以下方面的影响 股权 |
效果 1.0%利率上升 | 43.5 | | — | | 45.9 | | — | |
对净收入的正面影响主要涉及我们的现金和现金等价物以及短期投资总额高于我们的浮动债务头寸总额,其中不包括2020年发行的欧洲债券。
为.1.0如果利率下降%,对净收入和股本的影响大致相同,但相反。
对冲政策利率
我们使用利率互换,通过调整可用现金和计息债务的利息条款,将集团的净利息敞口降至最低。如果资产和负债头寸不能完全抵消,则可能存在剩余的利率风险。
利率互换
截至2021年12月31日,未偿还利率掉期合约的名义本金金额为 €3.0十亿 (2020: €3.0十亿美元)。于2021年期间,这些未平仓对冲在对冲利率变动带来的公允价值风险方面非常有效。欧元债券的公允价值变动与利率互换的公允价值变动在同一时期计入综合经营报表。我们并没有就2020年发行的欧洲债券订立利率互换协议。.
信用风险管理
可能导致本公司信用风险高度集中的金融工具主要包括现金及现金等价物、短期投资、用于对冲活动的衍生金融工具、应收账款和财务应收账款以及对供应商的预付款。
现金和现金等价物、短期投资和衍生金融工具包含交易对手无法履行其义务的风险因素。我们的风险管理计划适当地关注当前金融市场的不确定环境。我们将现金及现金等价物和短期投资投资于拥有投资级信用评级的金融机构的短期存款、拥有投资级信用评级的政府和/或政府相关机构,以及投资于高评级债务证券的货币市场和其他投资基金。为了减轻对冲交易中的交易对手无法履行其义务的风险,我们与少数拥有投资级信用评级的主要金融机构进行交易,并密切监测它们的信誉。所有信用评级都由S、穆迪或惠誉等信用评级机构进行评级。通过限制对每个单独交易对手的风险敞口,降低了集中风险。
我们的客户包括分布在世界各地的集成电路制造商。我们对客户的财务状况进行持续信用评估。我们透过额外措施(包括使用首期付款、信用证及合约所有权保留条款)降低信贷风险。保留所有权使我们能够在客户拖欠付款的情况下恢复系统。
流动性风险管理
我们的主要流动性来源包括现金和现金等价物、短期投资和可用的信贷安排,目标是将我们的现金和现金等价物以及短期投资保持在欧元的最低范围以上2.0兑欧元2.5十亿美元。此外,我们可能会不时在债务和股票市场筹集额外资金。我们力求确保我们的主要流动资金来源在任何时候都足以满足我们的流动资金需求。
我们的流动资金需求受到许多因素的影响,其中一些因素是基于业务的正常持续运营,另一些因素与全球经济和半导体行业的不确定性有关。虽然我们的现金需求根据这些因素的时间和程度而波动,但我们相信,运营产生的现金,加上我们的其他流动性来源,足以满足我们目前的需求,包括我们预期的资本支出和偿债。
我们打算定期以支付股息的形式向股东返还现金,并根据我们实际和预期的流动资金需求以及其他相关因素,回购股票或偿还资本。
资本风险管理
我们管理资本结构的目标是通过维持确保流动性和支持稳健投资级信用评级的资本结构,保障我们满足资本提供者的能力。根据美国公认会计原则和欧盟国际财务报告准则,资本结构包括债务和股权组成部分。资本结构主要透过(其中包括)调整派付予股东的股息金额、股份回购或资本偿还金额以及债务水平的任何变动而改变。我们的资本结构每年都会与监事会就最新的长期财务计划和相关方案进行正式审查。本年度的检讨结果证实,我们维持现有的资本架构融资政策。
穆迪目前对我们的信用评级为A2(稳定)。该评级于2021年9月从A3升级。惠誉目前我们的信用评级为A-(稳定),即始终如一评级日期为2020年12月31日。
金融工具
会计政策-衍生金融工具和对冲活动
我们根据第二级输入标准得出的公平值计量所有衍生金融工具。我们会就可高度有效抵销已识别对冲风险的对冲采用对冲会计处理,并考虑到所需的有效性标准。
衍生工具初步按订立衍生工具合约当日之公平值确认,其后重新计量。确认所产生收益或亏损的方法取决于衍生工具是否指定为对冲工具,以及如被对冲项目的性质。我们将衍生物指定为以下之一:
•与已确认资产或负债公允价值变动有关的风险对冲,该风险归因于特定风险(公允价值对冲)。
•对与已确认资产或负债的现金流量变动有关的风险进行套期,或对可归因于特定风险的预测交易(现金流量套期)。
•与海外业务净投资有关的外汇风险对冲(净投资对冲)。
我们在交易开始时评估对冲工具与对冲项目之间的关系,以及我们进行各种对冲交易的风险管理目标和策略。我们亦于对冲开始时及持续评估对冲交易中使用的衍生工具是否高度有效地抵销被对冲项目的公平值或现金流量变动。衍生金融工具产生之现金流量乃根据对冲项目之性质于综合现金流量表分类。
公允价值对冲
被指定及合资格为公平值对冲的衍生金融工具的公平值变动,连同对冲资产或负债因对冲风险而产生的收益或亏损,均于综合经营报表内入账。
当我们撤销对冲关系、对冲工具到期或被出售、终止或行使,或不再符合对冲会计法的资格时,对冲会计法将终止。因对冲风险而产生的对冲项目账面值调整自该日起摊销至综合经营报表。
用作对冲应付固定贷款票公平值之利率掉期指定为公平值对冲。公平值变动旨在抵销相关固定贷款票的公平值变动,并相应记录。与对冲应付固定贷款票利率掉期无效部分有关的收益或亏损于综合经营报表确认为利息及其他净额。
现金流对冲
被指定及符合资格作为现金流量对冲的衍生工具的公平值变动于其他全面收益中入账(扣除税项),直至相关对冲交易于综合经营报表确认为止。倘相关对冲交易不会于指定期间内发生,相关现金流量对冲的收益或亏损自其他全面收益中解除,并计入综合经营报表,除非存在与预测交易的性质有关且超出我们的控制或影响力且导致预测交易很可能在某个日期发生的减刑情况,超出了规定的期限。
用于对冲与非功能货币的预测销售或采购交易有关的现金流量的外币对冲工具被指定为现金流量对冲。与外币对冲工具无效部分有关的收益或亏损于综合经营报表中确认为销售净额或销售成本。
衍生工具的公允价值
下表概述我们衍生金融工具的名义金额及估计公平值:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
| 概念上的 金额 | 公允价值 | 概念上的 金额 | 公允价值 |
远期外汇合约 | 182.0 | | (17.6) | | 27.5 | | 12.8 | |
利率互换 | 3,000.0 | | 160.4 | | 3,000.0 | | 83.9 | |
下表按类别汇总了我们的衍生金融工具:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 |
| 资产 | 负债 | 资产 | 负债 |
| | | | |
利率互换--公允价值对冲 | 160.4 | | — | | 83.9 | | — | |
远期外汇合约现金流套期保值 | 0.9 | | 15.1 | | 15.0 | | 2.2 | |
| | | | |
远期外汇合约--无对冲会计 | 1.5 | | 4.9 | | 0.6 | | 0.6 | |
总计 | 162.8 | | 20.0 | | 99.5 | | 2.8 | |
较少的非流动部分: | | | | |
| | | | |
利率互换--公允价值对冲 | 123.8 | | — | | 47.3 | | — | |
非流动部分合计 | 123.8 | | — | | 47.3 | | — | |
总当期部分 | 39.0 | | 20.0 | | 52.2 | | 2.8 | |
在资产负债表日后剩余期限不超过12个月的套期保值衍生金融工具的公允价值部分被归类为流动资产或负债。当套期保值衍生工具的公允价值部分在资产负债表日后的期限超过12个月时,该衍生工具被归类为非流动资产或负债。衍生金融工具计入综合资产负债表的其他资产及应计及其他负债,分为流动及非流动。
公允价值计量
公平值为市场参与者于计量日期进行有序交易时出售资产所收取或转让负债所支付的价格。公平值计量架构按以下方法优先考虑用于计量公平值的估值技术的输入数据:
•第一层:估值基于输入数据,例如实体有能力进入的活跃市场的相同资产或负债的报价。
•第二层:根据第一级输入数据以外的输入数据进行估值,例如类似资产或负债的报价、不活跃市场的报价,或可观察或可由资产或负债大部分整个年期的可观察数据确证的其他输入数据。
•第三层:估值乃基于极少或无市场活动支持且对资产或负债之公平值属重大之输入数据。
公平值等级制度给予相同资产或负债于活跃市场之报价(未经调整)最高优先级(第一层),而不可观察输入数据则给予最低优先级(第三层)。金融工具之公平值分类乃基于公平值计量层级中任何重要输入数据之最低层级。
按经常性基准按公允价值计量的金融资产及金融负债
货币市场基金投资(包括在现金及现金等价物内)按相同资产或负债的报价进行公平值计量。
我们的短期投资包括向持有投资超过3个月且在收购之日不超过一年的实体存入具有投资级信用评级的金融机构的原始到期日存款。存款的公允价值乃参考类似资产活跃市场的报价或贴现现金流分析而厘定。
我们执行衍生工具合约的主要市场为场外交易环境中的机构市场,价格透明度高。市场参与者通常是大型商业银行。衍生工具合约之估值输入数据乃根据公开数据来源之报价及报价区间计算,不涉及管理层判断。
用于厘定远期外汇合约(用作对冲用途)之公平值之估值技术与净现值技术相若,净现值技术为银行于报告日期终止远期外汇合约而收取或支付之估计金额,并计及现行利率及现行汇率。
用于确定利率掉期(用于对冲目的)的公允价值的估值技术是净现值技术,即考虑到当前利率,银行将在报告日期收到或支付终止掉期协议的估计金额。
四我们的未偿还欧元债券,本金总额为欧元320亿欧元,作为公允价值对冲关系中的对冲项目,在这种关系中,我们通过利率互换来对冲由于市场利率变化而导致的欧洲债券公允价值变化的可变性。我们在2020年发行的债券不适用对冲。利率互换的公允价值变动于综合资产负债表的衍生金融工具项下记录,而欧元债券的账面金额只就该等公允价值变动的有效部分作出调整。有关我们的欧元债券的实际账面总额和公允价值,请参阅附注16长期债务和利息及其他成本。
下表列出了我们按公允价值经常性计量的金融资产和金融负债:
| | | | | | | | | | | | | | |
截至2021年12月31日止年度(欧元,百万美元) | 1级 | 2级 | 3级 | 总计 |
按公允价值计量的资产 | | | | |
衍生金融工具1 | — | | 99.5 | | — | | 99.5 | |
货币市场基金2 | 2,928.3 | | — | | — | | 2,928.3 | |
短期投资3 | — | | 638.5 | | — | | 638.5 | |
总计 | 2,928.3 | | 738.0 | | — | | 3,666.3 | |
按公允价值计量的负债 | | | | |
衍生金融工具 1 | — | | 2.8 | | — | | 2.8 | |
已披露公允价值的资产和负债 | | | | |
长期债务4 | 4,673.9 | | — | | — | | 4,673.9 | |
| | | | | | | | | | | | | | |
截至2020年12月31日的年度(欧元,单位:百万) | 1级 | 2级 | 3级 | 总计 |
按公允价值计量的资产 | | | | |
衍生金融工具1 | — | | 162.8 | | — | | 162.8 | |
货币市场基金2 | 3,841.9 | | — | | — | | 3,841.9 | |
短期投资3 | — | | 1,302.2 | | — | | 1,302.2 | |
总计 | 3,841.9 | | 1,465.0 | | — | | 5,306.9 | |
按公允价值计量的负债 | | | | |
衍生金融工具 1 | — | | 20.0 | | — | | 20.0 | |
已披露公允价值的资产和负债 | | | | |
长期债务4 | 4,798.8 | | — | | — | | 4,798.8 | |
1.衍生金融工具包括远期外汇合约及利率掉期。
2.货币市场基金是我们现金和现金等价物的一部分。
3.短期投资包括向持有投资之实体原到期日超过三个月但于收购日期一年或以下之存款。该等存款按接近其公平值的摊销成本估值。其公允价值参考类似资产活跃市场的市场报价或贴现现金流分析确定
4.长期债务主要与欧元债券有关。
有几个 不是截至2021年12月31日和2020年12月31日止年度内水平之间的转移。
不按公允价值计量的金融资产及金融负债
现金及现金等价物、应付账款及其他流动金融资产及负债之账面值与其公平值相若,乃由于该等工具之短期性质。鉴于当前利息和投资级信用评级,向Carl Zeiss Smart GmbH提供的贷款的公允价值接近于公允价值。
货币市场与投资基金计量
货币市场和投资基金符合可供出售证券的资格。由于短期性质,公允价值接近账面价值,且与具有投资级信用评级的投资有关。信贷损失拨备和未实现损益总额接近于零。这些货币市场基金可以每日赎回。货币市场基金的投资和赎回是根据实际现金余额按日进行管理的。考虑到低利率和高信用评级,这些货币市场基金的已实现损益并不显著。证券的成本几乎为零。截至2021年12月31日,ASML没有交易证券。
沉积物测量
作为现金和现金等价物的一部分的存款和短期投资符合持有至到期的证券的资格。由于短期性质以及与具有投资级信用评级的投资有关,摊余成本价值接近公允价值和账面价值。信贷损失拨备和未实现损益总额接近于零。期限为1年或更短。在到期日之前,没有出售持有至到期的证券。
非经常性按公允价值计量的资产和负债
在2020年和2021年,我们有d 不是按非经常性基准对常规业务活动进行重大公允价值计量。我们做 不是I don‘我不会在2020年至2021年期间确认任何商誉和其他无形资产的减值费用。有关2020年收购柏林Glas及其后于2021年剥离非半导体业务的公允价值计量,我们参考附注10业务合并及剥离。
26. 关联方和可变利益实体
Carl Zeiss SMT GmbH是我们唯一的供应商,也是他们唯一的客户,用于光刻系统的光学柱。Carl Zeiss SMT GmbH仅能够开发和生产有限数量的此类产品,并且只能使用位于德国Oberkochen和Wetzlar的制造和测试设施。我们与Carl Zeiss SMT GmbH的合作关系是一个战略联盟,在“两家公司,一个业务”的原则下运行,专注于持续创新和改善光刻业务的卓越运营。
我们有一个24.9卡尔·蔡司SMT Holding GmbH&Co.kg的%权益,该公司拥有100Carl Zeiss SMT GmbH的%股份。基于 24.9Carl Zeiss SMT Holding GmbH & Co. KG及其子公司被视为关联方。此外,我们已确定Carl Zeiss SMT Holding GmbH & Co. KG是一个可变权益实体,因为该实体成立时没有实质性投票权,因为我们的投票权与我们的经济状况之间存在差异,而且Carl Zeiss SMT Holding GmbH & Co. KG的几乎所有活动都涉及我们或代表我们进行。然而,我们不是可变利益实体的主要受益者,因为我们缺乏权力来指导那些对Carl Zeiss SMT Holding GmbH & Co. KG的经济表现影响最大的活动。
自1997年以来,我们与Carl Zeiss SMT GmbH签订了多项框架协议。我们于2021年9月与Carl Zeiss SMT GmbH签订了一项新的框架协议,自2021年初起生效。这项协议取代了我们现有的主要框架协议,并使我们的业务利益保持一致,以便专注于支持我们的最终客户。新框架协议的主要内容包括:
•促进相互尊重和理解的行为和互动模式
•一种治理模式,使两家公司能够在决策和业务战略执行方面变得更加有效和一致,通过相互批准(i)影响光刻业务的某些投资决策,以及(ii)Carl Zeiss SMT GmbH提供的所有产品的要求
•由ASML和Carl Zeiss SMT GmbH在光刻业务中的相关年度财务业绩决定的产品和服务采购的新可变定价模式
•如果满足某些标准,则通过产品交付额外预付款提供现金支持,以确保Carl Zeiss SMT GmbH在年度内达到最低调整后的自由现金流下限
•ASML承诺为Carl Zeiss SMT GmbH的资本支出提供最高为€1如果他们在光刻业务路线图上执行的投资超过了每年衡量的某些门槛,他们的投资就会超过50亿美元
融资将通过贷款协议进行,关键条款为:
•十年线性年还款的定期贷款三年宽限期
•利率下限为0.01%和上限为1%
•自愿提前还款选择权,无罚款
两家公司同意永久继续其战略联盟,以满足最终客户的需求,即使在新框架协议终止的情况下也是如此。
从以前的协定过渡
2016年,我们与Carl Zeiss SMT GmbH达成协议,支持他们在EUV 0.55 NA(High-NA)方面的研发成本、资本支出和供应链投资。根据我们的新框架协议,从2021年开始将不再支付这些款项。我们付了钱€969.1万在新框架协议生效修改日期之前,其中€305.5万与研发费用有关,不偿还的,以及€663.6万与资本支出和供应链投资有关。资本支出和供应链投资支助的偿还方式已转换为在2021年至2032年期间每年偿还ASML。这笔金额在其他资产中作为向Carl Zeiss SMT GmbH的预付款列报。新的框架协议没有改变与这些资产相关的风险。
在新的购买产品和服务的可变定价模型中,ASML的现金流出被确定为目前二元素。首先是购买产品和服务的现金流出,反映在我们的库存估值和销售成本中。第二项是为卡尔·蔡司SMT有限公司的High-NA提供的研发资金,这些成本在研发成本中列报。2021年,这一数字被确定为€61.2万。根据之前的High-NA协议,我们产生的研发成本为€96.1万在2020年和€94.2万2019年。
一笔初始贷款€124.4万已于2021年9月29日提供,按摊余成本计价,在其他资产内列报。根据之前的High-NA协议,我们为2020年的资本支出和供应链投资提供了支持€221.41000万美元和2019年€188.61000万美元。
除了High-NA支持外,我们还提供无息预付款,以支持Carl Zeiss SMT GmbH的在制品。这些付款是为了确保光学柱交付,这些预付款通过未来的透镜或光学柱交付结算,也在其他资产中列报。新的框架不会改变我们定居的权利
以前支付的金额并不改变与这些资产相关的风险。我们将继续支持卡尔·蔡司SMT有限公司在新框架协议下的工作,通过产品交付的预付款。
在我们的合并资产负债表中与Carl Zeiss SMT Holding GmbH&Co.Kg及其子公司的未偿还余额,以及我们截至2021年12月31日的最大亏损敞口:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | 最大损失风险 |
计入其他资产的预付款 | 933.8 | | 982.8 | | 982.8 | |
预付款包括在财产、厂房和设备中 | 52.8 | | 82.1 | | 82.1 | |
应收贷款 | — | | 124.4 | | 124.4 | |
使用权资产-财务 | 149.9 | | — | | — | |
的投资协议24.9股权百分比 | 820.7 | | 892.5 | | 892.5 | |
应付帐款 | 110.9 | | 482.7 | | — | |
应计负债和其他负债 | — | | — | | — | |
我们参与Carl Zeiss SMT Holding GmbH & Co. KG作为可变利益实体的最大损失风险包括各项资产的账面值,以及Carl Zeiss SMT Holding GmbH & Co. KG的任何未来经营损失的风险,这些风险无法量化。
2020年融资租赁的使用权资产主要包括与我们与Carl Zeiss Smart签订的High-NA协议相关的设施和工具,其资金由ASML预付。该协议被新的框架协议取代。签订新协议后,这些资产不再符合租赁的定义。它们在2021年被归类为其他资产的一部分。
卡尔·蔡司SMT Holding GmbH&Co.KG及其子公司的采购总额如下:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2019 | 2020 | 2021 |
总购买量 | 1,502.3 | | 1,623.9 | | 2,070.3 | |
其他关联方考虑
有过不是ASML或其任何子公司、任何其他重要股东、任何董事或高级管理人员或其任何亲属或配偶之间的交易,普通课程(补偿)安排除外。在我们最近的财政年度, 不是,目前, 不是,ASML或其任何联系人所欠或欠ASML的任何董事或高级职员的未偿债务。此外,ASML没有向管理委员会或监事会成员提供任何个人贷款、担保等。
27. 后续事件
后续事件的评估截止到2022年2月9日,也就是本年度报告中包含的财务报表获得批准的日期。
ASML柏林公司为ASML的光刻系统制造零部件,包括晶片台和夹具、刻线卡盘和镜块。2022年1月2日,柏林一座生产建筑的一部分发生火灾,浓烟部分影响了邻近的一座建筑。我们已经能够在这些建筑的部分地区恢复生产。工地上的其他建筑没有受到影响,已经完全投入使用。我们正在进行彻底的调查,并对财务影响进行全面评估。根据我们目前的洞察,我们相信我们可以在不对系统输出造成重大影响的情况下管理火灾的后果。
没有其他事件可报告。
荷兰维尔德霍温
2022年2月9日
/S/彼得·T·F·M·温宁克
彼得·T.F.M.温宁克
总裁,首席执行官兼管理委员会成员
/S/罗杰J.M.达森
罗杰·J.M.达森
常务副首席财务官兼管理委员会成员总裁
独立审计员的保证报告
致:ASML Holding N.V.股东大会和监事会
我们的结论
吾等已审阅ASML Holding N.V.(下称:“本公司”)截至2021年12月31日止年度的非财务资料(下称:非财务资料)。
根据所执行的程序,吾等并无注意到任何事项令吾等相信该等非财务资料在所有重大方面并非按照吾等报告“呈报准则”一节所述的呈报准则编制。
非财务信息包括:2021年概览(第5至8页)、我们是谁和我们做什么(第9至27页)、我们的战略(第34至37页)、我们在2021年的表现(第38至41页和52至138页)以及非财务报表(第221至246页)。
我们结论的基础
我们根据荷兰法律进行审查,包括荷兰标准3810N:“与可持续性报告有关的保证活动”,这是一项特定的荷兰标准,基于国际保证活动标准(ISAE)3000:“审计或审查历史财务信息以外的保证活动(证明活动)”。这种接触的目的是获得有限的保证。
我们在这方面的责任在我们报告的“审计员的责任”一节中作了进一步说明。
我们独立于ASML Holding N.V.,根据《会计准则》(VIO,一项关于独立性的规定),独立于ASML Holding N.V.。此外,我们还遵守了《会计准则》(VGBA,荷兰道德准则)。
我们相信,我们所获得的保证证据是充分和适当的,可以为我们的结论提供基础。
报告标准
非财务信息需要与报告标准一起阅读和理解。ASML Holding N.V.单独负责选择和应用这些报告标准,并考虑到与报告相关的适用法律和法规。
用于编制非财务信息的报告准则为全球报告倡议(GRI)的可持续性报告准则以及年报“关于非财务信息”一节披露的适用补充报告标准。
重要性
基于我们的专业判断,我们确定了非财务信息的每个相关部分以及作为一个整体的非财务信息的重要性水平。在评估我们的重要性水平时,我们考虑了定量和定性的考虑因素,以及信息与利益相关者和公司的相关性。
我们同意监事会的意见,即应向监事会报告在审查期间发现的、我们认为必须在数量或质量基础上报告的错误陈述。
小组评审的范围
ASML Holding N.V.是一组实体的母公司。非财务信息在年报“关于非财务信息”中规定的范围内纳入了这组实体的综合信息。
我们的小组审查程序包括公司(合并)层面和实体层面的审查程序。我们在审查程序范围内选择实体的主要依据是这些实体对综合信息的个别贡献。
通过在实体层面执行我们的审核程序,以及在公司层面执行额外的审核程序,我们能够获得关于集团非财务信息的充分和适当的保证证据,以提供关于非财务信息的结论。
对我们审查范围的限制
非财务信息包括预期信息,如目标、战略、计划、预期和估计。实际未来结果本质上是不确定的。吾等不就非财务资料中预期资料之假设及可靠性作出任何保证。
非财务资料中对外部来源或网站的引用并非我们审阅的非财务资料本身的一部分。因此,我们不对这些信息提供保证。
管理委员会的职责
公司管理委员会负责根据我们报告“报告标准”部分所述的适用标准编制非财务信息,包括识别利益相关者和定义重大事项。管理委员会就非财务信息范围和报告政策做出的选择总结在年度报告的“关于非财务信息”部分中。
此外,管理委员会负责其认为必要的内部监控,以使非财务资料的编制不存在由于欺诈或错误而导致的重大错误陈述。
管理委员会负责监督公司的报告流程。
审计员的责任
吾等之责任为计划及执行吾等之审阅,以使吾等能就吾等之结论获得充足及适当之保证证据。
为获得有限水平保证而执行的程序旨在确定资料的可靠性,其性质及时间各不相同,且与合理保证业务相比程度较低。在有限担保业务中获得的担保水平远低于假设进行合理担保业务时应获得的担保水平。
我们采用“Nadere Voorschriften Kwaliteitssystemen”(NVKS,质量管理体系法规),并相应地维护全面的质量控制体系,包括关于遵守道德要求、专业标准和适用法律法规要求的书面政策和程序。
我们根据荷兰标准3810 N、道德要求和独立性要求,进行了专业判断,并在整个审查过程中保持了专业怀疑态度。
我们的审查包括:
•对外部环境进行分析,了解相关的社会主题和问题,以及公司的特点;
•评价所用报告标准的适当性及其在非财务信息中的一致适用和相关披露。这包括评价利益攸关方对话的结果和管理委员会所作估计的合理性;
•了解非财务信息的报告流程,包括对与我们的审查相关的内部控制的一般了解;
•确定非财务信息中最有可能发生重大错误陈述的领域,无论是由于欺诈还是错误,设计并执行针对这些领域的保证程序,并获得足够和适当的保证信息,为我们的结论提供基础。我们的程序包括:
–与负责战略、政策和成果的管理层和相关人员面谈;
–约谈负责为非财务信息提供信息、执行内部控制程序、合并非财务信息数据的相关人员;
–获取非财务信息与公司基础记录相符的保证信息;
–在有限试验的基础上审查相关的内部和外部文件;
–对数据和趋势进行分析审查。
•评估非财务信息与报告中不属于我们审查范围的信息的一致性;
•评价非财务信息的列报、结构和内容;
•考虑包括披露在内的非财务信息作为一个整体是否反映了所使用的报告标准的目的。
除其他事项外,我们已与管理委员会和监事会就审查的计划范围和时间以及我们在审查期间确定的重要结论进行了沟通。
安斯特尔文,2022年2月9日
毕马威会计师事务所
P.J.格伦兰-范德林登RA
关于非财务信息
报告范围
本年报披露的内容1是基于2018年进行的全面重要性评估为ASML和我们的利益攸关方确定的材料主题。作为重要性评估的一部分,我们要求内部和外部利益相关者确定主题在价值链中的哪里有影响,我们在哪里包括GRI标准所要求的边界)。阅读更多内容:非财务报表-重要性评估.
重要性评估被用作制定2019-2025年期间可持续发展战略的投入。(关键)已确定绩效指标来报告我们执行这一可持续发展战略的情况。在我们的投资者日期间,我们宣布了我们最新的可持续发展战略,我们将于2022年报告这一战略。
报告范围表(见下一页)澄清了按主题报告的数据范围,并解释了所提供数据的范围与报告内容范围的不同之处。
本年度报告一般涵盖ASML在2021年1月1日至2021年12月31日的业绩。
本报告中的财务信息来源于我们符合美国公认会计准则的财务报表。本报告中有关我们在可持续发展领域的表现的信息的报告基础是根据GRI可持续发展报告标准编制的,并根据“核心”选项列报。我们遵守GRI标准(GRI内容索引)的详细信息可在网站上提供的单独报告副刊中找到。
1.我们出版了两份年度报告。年度报告的一个版本是按照美国公认会计准则编制的。年度报告的另一个版本是根据欧盟-国际财务报告准则编写的,也符合《荷兰民法典》第二册362.9条。出于内部和外部报告的目的,我们采用美国公认会计准则。美国公认会计原则是我们设定财务和运营业绩目标的主要会计标准。
报告进程
每个主题都有一名所有者,负责主题抱负、战略和相关业绩指标,以及及时提供内容和相关数据,以报告和监测战略的执行情况。数据由财务部门审核和合并。财务部门还负责年度报告的报告和规划过程。
报告指标
本报告所列合并财务报表经审计。更多内容请阅读:合并财务报表-独立注册会计师事务所报告。
本报告披露的非财务数据来自不同的来源,我们运营的子公司和部门内部处理数据的方式也不同。由于测量和估计数据的局限性,这造成了一定程度的不确定性。我们继续致力于改善我们的可持续性控制环境和数据收集流程。
客户群中处于活动状态的系统
我们监控我们为其提供服务的客户群中的活动系统数量。这包括我们的EUV、DUV和PAS5500系统。我们计算了所有已售出的仍在使用的系统(EUV、DUV和PAS5500系统)的百分比。外地的一些系统可能没有得到ASML的服务,但可以运作。对于指标‘活动系统的百分比’,我们对活动但未由ASML提供服务的系统部分进行假设。根据历史信息和经验,我们确定33%的非ASML服务系统仍在该领域处于活动状态。
范围3排放
我们测量和报告价值链中我们活动的间接排放量--范围3排放。这一类别包括我们运营产生的排放,以及客户对我们产品的上游供应链和下游使用产生的排放。更多内容请阅读:我们在2021年的表现-环境-气候和能源-碳足迹战略。
在使用报告的信息时,需要考虑以下方法、假设和数据可靠性:
•由于其性质,范围3的排放数据包括时间滞后。因此,报告年度报告的排放量是使用一年前的实际数据来源计算的。
•报告的排放量符合《温室气体议定书》,并按照《温室气体议定书》发布的范围3核算和报告标准中所述的九个类别进行计算,被认为与我们和我们的价值链相关。
•分类包括:第1类购进的货物和服务,第2类资本货物,第3类与燃料和能源有关的活动,第4类和第9类上下游运输和分销,第5类在运营中产生的废物,第6类业务
旅行,Cat.7员工通勤,Cat.11销售产品的使用,以及Cat.12销售产品的生命周期结束处理。其余五个类别被认为与ASML和我们的价值链无关或无关紧要。因此,我们将这些类别排除在我们的范围3排放评估之外。
•用于计算我们价值链碳足迹的应用排放系数来自最新的DEFRA(英国环境、食品和农村事务部)2021年排放系数。
•已售产品使用范围3第11类所采用的计算方法的基础是系统能量测量的SEMI S23标准。此外,我们还应用了某些假设,如系统可用性水平和性能水平。这些可能会因系统增强而更改加班时间。
•适用于范围3第1类采购的货物和服务的计算方法的基础是支出。因此,它依赖于基于支出的排放系数,这是对商品和服务温室气体强度的间接衡量。
•此外,我们还从我们的供应商那里收集了Cat.4上游运输和配送以及Cat.6商务旅行的实际排放数据,这些数据约占范围3总排放量的3%。
报告范围表
下表澄清了按专题报告的数据范围,并解释了所提供数据范围与报告内容范围的不同之处。以下范围内排除的公司没有某些分节的可用数据。
| | | | | |
(分)章年度报告 | 范围 |
我们是谁以及我们做什么 | |
我们如何创新 | ASML全球 |
客户亲密度 | ASML全球 |
财务业绩 | |
财务表现指标 | ASML全球 |
气候和能源 | |
碳足迹战略 | FTE以上的ASML地点,不包括BG |
产品能效战略 | ASML产品,不包括BG |
循环经济 | |
减少运营中的浪费 | FTE以上的ASML地点,不包括BG |
重复使用客户群中的部件和材料 | ASML产品,不包括BG |
通过翻新回收成熟产品 | ASML产品,不包括BG |
我们的人民 | |
我们的人才愿景 | ASML全球,不包括BG |
统一文化 | ASML全球,不包括BG |
员工体验 | ASML全球范围内,不包括BG -注:指标“缺勤”不包括Cymer和HM。指标由内部候选人填补的空缺职位范围(%)不包括ASML US。 |
强有力的领导力 | ASML全球,不包括BG |
确保员工安全 | ASML全球,不包括BG |
社区参与 | |
社区参与计划 | ASML在全球范围内,不包括BG -注:技术推广仅限于ASML荷兰 |
ASML基金会 | ASML全球,不包括BG |
创新生态系统 | |
与研究机构和大学的合作伙伴关系 | ASML全球,不包括BG |
与研发合作伙伴合作 | ASML全球,不包括人机界面和BG |
支持初创企业和扩大规模 | ASML荷兰 |
负责任的供应链 | |
采购和供应链战略 | ASML全球,不包括BG |
供应商绩效管理 | ASML全球,不包括BG |
供应链风险管理 | ASML全球,不包括BG |
负责任的供应链 | ASML全球,不包括人机界面和BG |
负责任的企业 | |
商业道德和行为准则 | ASML全球,不包括BG |
产品安全 | ASML产品 |
水管理 | ASML地点超过250FTE,不包括BG-不包括总超纯水消耗量和总回收和再利用水,仅限于荷兰维尔德霍温、台湾林口和台南HMI。 |
休息 | ASML全球 |
作用域更改
与2020年年报相比,范围有以下变化:
•2021年非财务数据的“我们业务的碳足迹”、“水管理”和“减少浪费”的范围扩大到制造地点“圣何塞”、“台南”和“其他”。其他包括总和超过250 FTE的地点,不包括BG。
•《GRI 306:2020年废物》要求将“从处置中转移的废物”和“直接用于处置的废物”区分开来。《循环经济-减少废物》的非财务数据布局已更改,以符合最新的废物GRI。
•2019年、2020年和2021年的非金融数据按地区划分,扩大了“公平薪酬”的范围。
•“总培训费用”的来源从人力资源报告更改为更详细的SAP报告。
•从2021年起,韩国的总体排名不再由优信咨询进行。2021年报告的结果是基于定制的排名报告。我们修正了荷兰队2020年的成绩,包括了总排名。
对本报告的审查
根据我们的管理委员会的要求,我们的非财务资料已独立审阅。我们的外聘核数师(KPMG)被要求审阅该非财务资料。 关于毕马威的保证报告,包括其开展工作的细节,请参阅:非财务报表--独立审计师的保证报告。
非财务指标
非金融关键绩效指标(KPI)在我们在半导体价值链中所处位置的可持续发展报告的不同章节中进行了报告。下表报告了其他非财务业绩指标。
| | | | | | | | | | | | | | |
客户亲密度 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
总体忠诚度评分(客户反馈调查) | 不适用 | 72.6 | % | 不适用 | 该调查每24个月进行一次(上次调查于2020年9月进行) |
超大规模集成电路调查结果 | | | | |
芯片制造设备的大型供应商—评分(0—10) | 9.2 | | 9.3 | | 9.2 | | |
Fab设备供应商—评分(0至10分) | 9.2 | | 9.3 | | 9.2 | | |
光刻设备的技术领导力—得分(0—10) | 9.6 | | 9.7 | | 9.5 | | |
| | | | | | | | | | | | | | |
气候与能源-能源 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
能源消耗(单位:TJ) | 1,367 | 1,412 | 1,689 | | |
通过项目在全球范围内节约能源(以台湾省为单位) | 80 | 114 | 13 | | 2021年,我们开始了2021-2025年新的总体规划期,目标是到2025年底实现100 TJ的节能。这些节余是通过改进技术装置的项目或改进生产工艺的项目实现的。节省的能源类型:燃料和电力。2019年和2020年的数据与2016-2020年总体规划有关。报告的节省是与基准年相比累积的,因此不具有可比性。 |
每个地点购买的电力(以TJ为单位) | | | | |
Veldhoven | 751 | 802 | 881 | | |
威尔顿 | 102 | 114 | 120 | | |
林口 | 36 | 35 | 34 | | |
圣地亚哥 | 162 | 167 | 176 | | |
圣何塞 | — | — | 28 | | 自2021年以来,这一指标的适用范围有所扩大。 |
台南 | — | — | 36 | | 自2021年以来,这一指标的适用范围有所扩大。 |
其他 | — | — | 47 | | 自2021年以来,该指标的范围内。其他包括FTE总和超过250人的地点。 |
总计 | 1,051 | 1,118 | 1,322 | | |
| | | | | | | | | | | | | | |
气候与能源-能源 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
非可再生能源消耗的化石燃料(以TJ计) 1 | | | | 所消费的化石燃料仅包括天然气。 |
Veldhoven | 159 | | 141 | | 184 | | |
威尔顿 | 111 | | 112 | | 127 | | |
林口 | — | | — | | — | 这个生产基地不使用天然气。 |
圣地亚哥 | 46 | | 40 | | 43 | | |
圣何塞 | — | — | 5 | | 自2021年以来,这一指标的适用范围有所扩大。 |
台南 | — | — | — | | 自2021年以来,这一指标的范围内。该生产地点不使用天然气。 |
其他 | — | — | 8 | | 自2021年以来,该指标的范围内。其他包括FTE总和超过250人的地点。 |
总计 | 316 | 293 | 367 | | |
可再生能源消耗的燃料(以台湾省为单位) | — | | — | | — | | |
| | | | |
1.所使用的换算系数来源是荷兰排放管理局和美国能源信息管理局。
| | | | | | | | | | | | | | |
气候与能源- CO2排放 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
排放强度(范围1+2+3) | 0.01 | | 0.61 | | 0.47 | 2020年,排放强度的定义发生了变化,计算方法为范围1、2和3排放量(单位:KT)除以总收入(单位:百万)。2019年重新计算的数字为0.56。2019年,排放强度的计算方法是范围1和范围2的净排放量(单位:KT)除以总收入(单位:百万)。根据2020年,范围3将包含在计算中。 |
能源属性证书类型(TJ) | | | | |
原产地担保(GOS) | 751 | | 802 | | 883 | |
可再生能源证书(REC) | 264 | | 281 | | 331 | |
I-RECs | — | | 35 | | — | |
总计 | 1,015 | | 1,118 | | 1,214 | | |
能源属性证书类型(单位:吨) | | | | |
原产地担保(GOS) | 116 | | 110 | | 121 | |
可再生能源证书(REC) | 21 | | 21 | | 24 | |
I-RECs | — | 9 | — | |
总计 | 137 | 140 | 145 | |
巨额罚款和非金钱制裁的数量 | — | 1 | — | 2020年,HM北京因没有环境许可证而被罚款一次。 |
因不遵守环境法律和法规而被处以巨额罚款的货币价值(单位:千欧元) | — | 70 | — | |
| | | | | | | | | | | | | | |
循环经济-废物管理 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
产生的总废物(单位:1,000公斤)1 | | | | |
非危险废物总量 | 4,565 | 4,654 | 5,284 | |
有害废弃物总量 | 362 | 372 | 395 | |
建筑垃圾总量 | 608 | 231 | 199 | |
总计 | 5,535 | 5,257 | 5,878 | 全部垃圾在场外处理,不在现场进行垃圾处理。 |
废物处置总量(单位:1,000公斤)1 | | | | |
从处置中转移的废物 | 4,532 | 4,466 | 4,544 | |
直接弃置的废物 | 1,003 | 791 | 1,334 | |
总计 | 5,535 | 5,257 | 5,878 | |
废弃物转移处理:回收1 | | | | 我们采用废物回收。其他类别,例如重复利用准备和堆肥不适用于我们。 |
非危险废物总量 | 3,618 | 3,911 | 4,028 | |
危险废物总量 | 336 | 349 | 346 | |
建筑垃圾总量 | 578 | 206 | 170 | |
总计 | 4,532 | 4,466 | 4,544 | |
直接处置的废物:焚烧(含能源回收)1 | | | | |
非危险废物总量 | 567 | 411 | 938 | 由于供应商改变废物处理而增加。我们与供应商合作回收相关废物。 |
危险废物总量 | 9 | 9 | 16 | |
建筑垃圾总量 | 20 | 20 | 17 | |
总计 | 596 | 440 | 971 | |
直接处置的废物:焚烧(不含能源回收)1 | | | | |
非危险废物总量 | 37 | 3 | 51 | |
危险废物总量 | 15 | 13 | 27 | |
建筑垃圾总量 | 0 | 0 | 0 | |
总计 | 52 | 16 | 78 | |
直接处置的废物:垃圾填埋场1 | | | | |
非危险废物总量 | 343 | 329 | 267 | |
危险废物总量 | 2 | 1 | 6 | |
建筑垃圾总量 | 10 | 5 | 12 | |
总计 | 355 | 335 | 285 | |
处置的废物总量(占营运废物总量的百分比)1 | | | | |
焚烧(带能量回收) | 12 | % | 8 | % | 17 | % | |
焚烧(无能量回收) | 1 | % | — | % | 1 | % | |
垃圾填埋场 | 7 | % | 7 | % | 5 | % | |
总计 | 20 | % | 15 | % | 23 | % | |
出售的二手平板印刷系统 | 26 | 22 | 23 | 成熟系统的寿命延长。 |
1.废物处置方法由废物处置承包商提供的资料决定。截至2021年,我们按照GRI的要求将废物总量分为用于处置的废物和用于处置的废物。2019年和2020年的比较数据进行了调整,以披露这一划分。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
我们的员工-劳动力指标 |
全职员工数量(工资和临时员工) | 总ASML | 亚洲 | 欧洲 | 我们 |
2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 |
薪资员工(全时当量) | 23,219 | 25,082 | 28,747 | 5,664 | 6,027 | 7,404 | 12,393 | 13,627 | 15,444 | 5,162 | 5,428 | 5,899 |
女性(单位:%) | 16 | 17 | 18 | 16 | 17 | 17 | 16 | 17 | 18 | 17 | 17 | 17 |
男性(单位:%) | 84 | 83 | 82 | 84 | 83 | 83 | 84 | 83 | 82 | 83 | 83 | 83 |
临时雇员(全时当量) | 1,681 | 1,399 | 2,095 | 68 | 30 | 26 | 1,339 | 1,087 | 1,786 | 274 | 282 | 283 |
女性(单位:%) | 17 | 16 | 18 | 34 | 28 | 19 | 17 | 19 | 20 | 11 | 7 | 8 |
男性(单位:%) | 83 | 84 | 82 | 66 | 72 | 81 | 83 | 81 | 80 | 89 | 93 | 92 |
总计 | 24,900 | 26,481 | 30,842 | 5,732 | 6,057 | 7,430 | 13,732 | 14,714 | 17,230 | 5,436 | 5,710 | 6,182 |
FTE人数(按年龄组) | | | | | | | | | | | | |
| 4,894 | 4,798 | 6,344 | 1,628 | 1,518 | 2,191 | 2,378 | 2,381 | 3,041 | 888 | 899 | 1,112 |
30 - 50 | 15,606 | 16,848 | 19,058 | 3,902 | 4,300 | 4,933 | 8,924 | 9,615 | 11,007 | 2,780 | 2,933 | 3,118 |
> 50 | 4,130 | 4,556 | 5,158 | 201 | 238 | 305 | 2,430 | 2,718 | 3,182 | 1,499 | 1,600 | 1,671 |
未知 1 | 270 | 279 | 282 | 1 | 1 | 1 | — | — | — | 269 | 278 | 281 |
总计 | 24,900 | 26,481 | 30,842 | 5,732 | 6,057 | 7,430 | 13,732 | 14,714 | 17,230 | 5,436 | 5,710 | 6,182 |
1.在美国,不强制登记临时员工的年龄。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
我们的员工-劳动力指标 | | | | | | | | | | | | |
全职工资人数(分为全职和兼职) | 总ASML | 亚洲 | 欧洲 | 我们 |
2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 | 2019 | 2020 | 2021 |
全职工资FTE(按年龄组) | | | | | | | | | | | | |
| 4,397 | 4,351 | 5,664 | 1,612 | 1,512 | 2,185 | 1,898 | 1,941 | 2,367 | 887 | 898 | 1,112 |
30 - 50 | 13,567 | 14,938 | 16,682 | 3,856 | 4,280 | 4,917 | 6,937 | 7,730 | 8,651 | 2,774 | 2,928 | 3,114 |
> 50 | 3,674 | 4,028 | 4,501 | 193 | 232 | 299 | 1,988 | 2,207 | 2,542 | 1,493 | 1,589 | 1,660 |
| | | | | | | | | | | | |
总计 | 21,638 | | 23,317 | | 26,847 | 5,661 | | 6,024 | | 7,401 | 10,823 | | 11,878 | | 13,560 | 5,154 | | 5,415 | | 5,886 |
全职工资FTE(按性别) | | | | | | | | | | | | |
女性(单位:%) | 15 | 15 | 16 | 16 | 17 | 17 | 14 | 14 | 15 | 17 | 17 | 17 |
男性(单位:%) | 85 | 85 | 84 | 84 | 83 | 83 | 86 | 86 | 85 | 83 | 83 | 83 |
兼职工资FTE(按年龄组) | | | | | | | | | | | | |
| 41 | 39 | 46 | — | — | — | 41 | 39 | 46 | — | — | — |
30 - 50 | 1,264 | 1,337 | 1,420 | 1 | 1 | 2 | 1,259 | 1,332 | 1,415 | 4 | 4 | 3 |
> 50 | 276 | 389 | 434 | 2 | 2 | 1 | 270 | 378 | 423 | 4 | 9 | 10 |
| | | | | | | | | | | | |
总计 | 1,581 | | 1,765 | | 1,900 | 3 | | 3 | | 3 | 1,570 | | 1,749 | | 1,884 | 8 | | 13 | | 13 |
兼职工资FTE(按性别) | | | | | | | | | | | | |
女性(单位:%) | 37 | 37 | 37 | 17 | — | — | 37 | 37 | 37 | 62 | 46 | 27 |
男性(单位:%) | 63 | 63 | 63 | 83 | 100 | 100 | 63 | 63 | 63 | 38 | 54 | 73 |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
我们的员工-劳动力指标 |
新招聘薪资员工数(全职员工数) | 总ASML | 亚洲 | 欧洲 | 我们 |
2019 | | 2020 | | 2021 | 2019 | | 2020 | | 2021 | 2019 | | 2020 | | 2021 | 2019 | | 2020 | | 2021 |
新员工人数 | 2,219 | 1,932 | 4,373 | 558 | 598 | 1,848 | 1,102 | 879 | 1,737 | 559 | 455 | 788 |
新聘员工占员工总数的百分比 | 10 | 8 | 15 | 10 | 10 | 25 | 9 | 6 | 11 | 11 | 8 | 13 |
性别 | | | | | | | | | | | | |
女性 | 542 | 454 | 896 | 123 | 123 | 313 | 280 | 216 | 432 | 139 | 115 | 151 |
男性 | 1,677 | 1,478 | 3,477 | 435 | 475 | 1,535 | 822 | 663 | 1,305 | 420 | 340 | 637 |
总计 | 2,219 | | 1,932 | | 4,373 | 558 | | 598 | | 1,848 | 1,102 | | 879 | | 1,737 | 559 | | 455 | | 788 |
年龄组 | | | | | | | | | | | | |
| 923 | 854 | 2,392 | 318 | 338 | 1,213 | 380 | 329 | 783 | 225 | 187 | 396 |
30 - 50 | 1,136 | 947 | 1,789 | 233 | 253 | 627 | 643 | 491 | 848 | 260 | 203 | 314 |
> 50 | 160 | 131 | 190 | 7 | 7 | 6 | 79 | 59 | 106 | 74 | 65 | 78 |
未知 | | | 2 | | | 2 | | | | | | |
总计 | 2,219 | | 1,932 | | 4,373 | 558 | | 598 | | 1,848 | 1,102 | | 879 | | 1,737 | 559 | | 455 | | 788 |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
我们的员工-劳动力指标 |
员工流失率(全时当量) | 总ASML | 亚洲 | 欧洲 | 我们 |
2019 | | 2020 | | 2021 | 2019 | | 2020 | | 2021 | 2019 | | 2020 | | 2021 | 2019 | | 2020 | | 2021 |
非自愿员工流失量 | 177 | 186 | 199 | 40 | 38 | 41 | 80 | 102 | 101 | 57 | 46 | 57 |
自愿减员人数 | 761 | 723 | 1,234 | 198 | 201 | 421 | 257 | 239 | 341 | 306 | 283 | 472 |
总计 | 938 | | 909 | | 1,433 | 238 | | 239 | | 462 | 337 | | 341 | | 442 | 363 | | 329 | | 529 |
性别 | | | | | | | | | | | | |
女性 | 196 | 189 | 258 | 55 | 56 | 78 | 72 | 69 | 89 | 69 | 64 | 91 |
男性 | 742 | 720 | 1,175 | 183 | 183 | 384 | 265 | 272 | 353 | 294 | 265 | 438 |
总计 | 938 | | 909 | | 1,433 | 238 | | 239 | | 462 | 337 | | 341 | | 442 | 363 | | 329 | | 529 |
年龄组 | | | | | | | | | | | | |
| 219 | 218 | 337 | 78 | 73 | 143 | 61 | 67 | 69 | 80 | 78 | 125 |
30 - 50 | 519 | 479 | 806 | 144 | 149 | 292 | 198 | 179 | 257 | 177 | 151 | 257 |
> 50 | 200 | 212 | 290 | 16 | 17 | 27 | 78 | 95 | 116 | 106 | 100 | 147 |
总计 | 938 | | 909 | | 1,433 | 238 | | 239 | | 462 | 337 | | 341 | | 442 | 363 | | 329 | | 529 |
| | | | | | | | | | | | | | |
我们的员工-员工敬业度 |
按性别划分的参与度评分We@ASML | 2019 | 2020 | 2021 | 评论 |
女性 | 75 | % | 80 | % | 78 | % | |
男性 | 77 | % | 80 | % | 78 | % | |
| | | | | | | | | | | | | | |
我们的员工-员工敬业度 |
描述 | 2019 | 2020 | 2021 | 评论 |
员工出勤率(%) | 4.3 | | 3.8 | 5.4 | |
优秀表现者的吸引率(%) | 2.4 | | 1.7 | 2.6 | 绩效优异的员工是指年度员工绩效评估中绩效评级为“杰出”或“超出预期”的员工。 |
晋升率-总体(%) | 14 | | 13 | 15 | |
优秀员工晋升率(%) | 38 | | 37 | 40 | |
缺勤(%) | | | | |
亚洲1 | 0.4 | 0.5 | 0.7 | 在一些亚洲国家,病假被视为年假,因此与疾病相关的缺勤记录为0%。 |
欧洲 | 2.6 | 2.3 | 2.4 | |
我们 | 1.6 | 1.3 | 1.4 | |
| | | | | | | | | | | | | | |
我们的员工-员工敬业度 |
描述 | 2019 | 2020 | 2021 | 评论 |
由内部候选人填补的空缺职位(%) | 36 | | 30 | | 29 | |
轮换率(%) | 18 | | 20 | | 13 | |
人力资本投资回报率(投资回报率) | 2.1 | | 2.4 | | 3.0 | 人力资本投资回报率的计算方法是:总净销售额减去总运营费用(不包括员工工资和福利总额),再除以员工工资和福利总额。 |
| | | | |
人员绩效管理流程完成度(%) | 97 | | 97 | 95 | |
发展行动计划完成(%) | 76 | | 77 | 74 | |
奖学金 | | | | |
荷兰奖学金数量 | 53 | | 49 | | 50 | |
美国奖学金数量 | — | | — | | 7 | |
台湾奖学金数量 | — | | 16 | | 24 | |
中国奖学金数量 | — | | 5 | | 5 | |
韩国奖学金数量 | — | | 3 | | 5 | |
| | | | | | | | | | | | | | |
我们的员工-员工敬业度 |
描述 | 2019 | 2020 | 2021 | 评论 |
培训总费用(百万欧元) | 19 | | 12 | 27 | MyLearning(学习管理系统)中记录的技术和非产品相关课堂培训的自付费用。 |
每个全职员工的培训和发展平均支出(欧元) | 836 | | 494 | | 1,020 | | |
每个FTE的总培训小时数 | | | | 包括技术和非产品相关培训时间(包括提名课程)。 |
女性 | 41 | | 26 | | 25 | |
男性 | 46 | | 29 | | 30 | |
加权平均 | 45 | | 28 | | 29 | |
| | | | | | | | | | | | | | |
我们的员工-员工敬业度 |
描述 | 2019 | 2020 | 2021 | 评论 |
每个技术FTE的技术培训小时数 | | | | 每FTE的技术培训时数的计算方法是,总的技术培训时数除以在运营和研发部门的技术部门工作的FTE的工资总额。 |
女性 | 35 | 22 | 22 | |
男性 | 41 | 27 | 29 | |
加权平均 | 40 | | 26 | | 28 | |
每个FTE的非产品相关培训小时数 | | | | 不包括提名课程(领导力发展计划) |
女性 | 13 | 7 | 8 | |
男性 | 8 | 4 | 5 | |
加权平均 | 9 | | 5 | | 5 | |
提名课程:领导力发展计划 | | | | |
培训时数 | 33,715 | | 22,896 | | 6,264 | | 由于COVID-19,2021年仅启动了两项ECAP计划 |
参加会议的雇员人数(唯一) | 387 | | 216 | | 48 | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
我们的员工-多元化与包容性 | | | | | | | | | | | | |
描述 | 性别 | | 性别比例 | | 年龄组 | 评论 |
管理职位和监事会中的男性/女性(人数) 1 | 女性 | 男性 | 总计 | | 女性 | 男性 | | | 30 - 50 | >50 | 总计 | |
监事会 | 3 | | 5 | | 8 | | | 38 | % | 62 | % | | | | 8 | | 8 | | |
管理委员会 | | 5 | | 5 | | | — | % | 100 | % | | | 1 | | 4 | | 5 | | |
高级管理层 | 67 | | 555 | | 622 | | | 11 | % | 89 | % | | | 283 | | 339 | | 622 | | |
中层管理 | 363 | | 2,505 | | 2,868 | | | 13 | % | 87 | % | | 1 | | 1,704 | | 1,163 | | 2,868 | | |
初级管理人员 | 218 | | 1,170 | | 1,388 | | | 16 | % | 84 | % | | 36 | | 1,136 | | 216 | | 1,388 | | |
其他 | 4,607 | | 19,732 | | 24,339 | | | 19 | % | 81 | % | | 5,708 | | 15,311 | | 3,320 | | 24,339 | | |
总计 | 5,258 | | 23,972 | | 29,230 | | | 18 | % | 82 | % | | 5,745 | | 18,435 | | 5,050 | | 29,230 | | |
| 性别 | | 性别比例 | | | | | | |
按行业划分的男性/女性(全时当量) | 女性 | 男性 | 总计 | | 女性 | 男性 | | | | | | |
客户支持 | 795 | | 6,596 | | 7,391 | | | 11 | % | 89 | % | | | | | | |
制造业与供应链管理 | 1,507 | | 5,973 | | 7,480 | | | 20 | % | 80 | % | | | | | | |
研究与发展 | 1,733 | | 10,098 | | 11,831 | | | 15 | % | 85 | % | | | | | | |
一般和行政 | 1,099 | | 1,632 | | 2,731 | | | 40 | % | 60 | % | | | | | | |
销售和成熟产品服务 | 116 | | 586 | | 702 | | | 17 | % | 83 | % | | | | | | |
战略供应管理 | 192 | | 515 | | 707 | | | 27 | % | 73 | % | | | | | | |
总计 | 5,442 | | 25,400 | | 30,842 | | | 18 | % | 82 | % | | | | | | |
1.临时员工不包括在人数数字中。
| | | | | | | | | | | | | | |
我们的员工-多元化与包容性 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
按性别划分的劳动力男性/女性(%) | | | | |
女性 | 16 | 17 | 18 | | |
男性 | 84 | 83 | 82 | | |
总计 | 100 | 100 | 100 | | |
为ASML工作的国籍数量 | | | | |
亚洲 | 36 | 35 | 33 | | |
欧洲 | 103 | 103 | 108 | | |
我们 | 82 | 86 | 90 | | |
全球合计 | 118 | 120 | 122 | | |
为ASML工作的外籍人士(单位:%) | | | | 在ASML工作的外国人(%)是指具有员工工作国家以外其他国籍的工资和临时员工的百分比 |
亚洲 | 6 | 6 | 5 | | |
欧洲 | 31 | 32 | 33 | | |
我们 | 29 | 27 | 28 | | |
全球合计 | 25 | 25 | 26 | | |
| | | | | | | | | | | | | | |
我们的员工-劳资关系 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
集体谈判协议涵盖的雇员百分比 | 52 | % | 53 | % | 52 | % | |
| | | | | | | | | | | | | | |
我们的员工-公平的薪酬 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
女性与男性基本工资之比 1,2 | | | | |
高级管理层3 | 103 | % | 99 | % | 99 | % | 计算方法与2019年相比发生了变化,见脚注3。 |
中层管理 3 | 99 | % | 98 | % | 99 | % | |
非管理 3 | 98 | % | 98 | % | 98 | % | |
按地区划分的女性与男性基本工资之比 1 | | | | 自2021年起按地区划分,包括2020年的比较数据。 |
欧洲 | — | % | 99 | % | 99 | % | |
亚洲 | — | % | 96 | % | 96 | % | |
我们 | — | % | 99 | % | 100 | % | |
女性与男性现金总额之比 1,4 | | | | 现金总额为基本工资加短期激励。 |
高级管理层5 | 102 | % | 99 | % | 99 | % | 计算方法与2019年相比发生了变化,见脚注5。 |
中层管理 5 | 98 | % | 98 | % | 99 | % | |
非管理 5 | 98 | % | 97 | % | 98 | % | |
按地区划分的女性与男性现金总额之比 1 | | | | 自2021年起按地区划分,包括2020年的比较数据。 |
欧洲 | — | % | 97 | % | 98 | % | |
亚洲 | — | % | 96 | % | 96 | % | |
我们 | — | % | 99 | % | 100 | % | |
| | | | |
内部薪酬比率(CEO与员工薪酬)6 | 38 | 38 | 40 | 详情见监事会-薪酬报告 |
1.本报告年度用于计算的基薪和现金总额由上一个报告年度实际支付的基薪和现金总额组成。
2.2020年,男女基本工资比率的定义发生了变化,计算方法为:平均加权工资女性/平均加权工资男性*100%。2019年,男女基本工资的比例计算为:每级平均工资女性/每级男性平均工资*100%。
3.重新计算的2019年高级管理人员女性与男性基本工资之比为99%。重新计算不影响2019年中层管理和非管理人员的PI。
4.2020年,男女现金总额比率的定义发生了变化,计算方法为:包括奖金的平均加权工资女性/包括奖金的男性平均加权工资*100%。2019年,男女基本工资的比率为:每级平均工资包括奖金,女性/每级平均工资,包括奖金,男性*100%。
5.2019年高级管理层PI的女性与男性现金总额重新计算比例为96%。重新计算不影响2019年中层管理和非管理人员的PI。
6.内部薪酬比率的计算方法在CEO与平均薪酬(薪酬比率)的关系一节中披露。我们根据监督委员会《荷兰公司治理准则》关于2021年生效的《荷兰公司治理准则》3.4.1.iv节的2020年12月指南修订了我们的内部薪酬比率的计算方法。因此,内部薪酬比率的相对历史数字已被重述,以将社会保障费用包括在内部薪酬比率数字中。在计算中,我们只考虑了薪资雇员,因为这确保了与合并财务报表中披露的数字一致。如果我们将临时工纳入其中,这一比例将会较低,因为他们的平均薪酬较高。
| | | | | | | | | | | | | | |
我们的员工--员工安全 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
可记录事故率 | 0.28 | 0.18 | 0.17 | |
可记录的事件数量 | 66 | 46 | 48 | |
死亡人数 | — | — | — | |
按地区划分的可记录事件数量: | | | | |
亚洲 | 12 | | 12 | | 7 | | |
欧洲 | 26 | | 19 | | 29 | | |
我们 | 28 | | 15 | | 12 | | |
每个受影响身体部位的急救事件数量: | | | | |
头 | 45 | | 37 | | 45 | | |
眼睛 | 4 | | 7 | | 8 | | |
肩膀 | 4 | | 4 | | 10 | | |
胸部 | 2 | | 3 | | 2 | | |
背 | 17 | | 10 | | 13 | | |
手臂 | 19 | | 12 | | 12 | | |
手 | 80 | | 70 | | 74 | | |
腿 | 29 | | 19 | | 18 | | |
脚 | 12 | | 19 | | 19 | | |
其他 | 29 | | 1 | | 12 | | |
总计 | 241 | | 182 | | 213 | | |
每个地区的急救事件数量: | | | | |
亚洲 | 44 | | 47 | | 34 | | |
欧洲 | 143 | | 80 | | 112 | | |
我们 | 54 | | 55 | | 67 | | |
总计 | 241 | | 182 | | 213 | | |
各地区险些相撞的次数: | | | | 险些失手是一种计划外的事件,没有导致伤害、疾病或损坏,但有可能造成伤害、疾病或损坏 |
亚洲 | 1,031 | | 3,201 | | 1,868 | | |
欧洲 | 1,498 | | 1,221 | | 1,354 | | |
我们 | 718 | | 631 | | 991 | | |
总计 | 3,247 | | 5,053 | | 4,213 | | |
| | | | | | | | | | | | | | |
社区参与 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
达到的学生人数 | 8,998 | 13,378 | 9,168 | |
志愿者的时间投入(小时)-技术推广和校园推广 | 5,445 | 2,936 | 1,886 | |
志愿者的时间投资(小时)-社区参与 | 7,664 | 1,333 | 2,393 | |
| | | | |
| | | | |
志愿服务总费用(x 1,000欧元) | 772 | | 271 | | 283 | |
#支持的ASML基金会项目 | 17 | | 22 | | 22 | |
| | | | | | | | | | | | | | |
我们的供应链-负责任的供应链 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
LTSA供应商的RBA行为准则合规合同条款(%) | 59 | % | 67 | % | 76 | % | |
可持续发展评估的供应商(单位:#)按: | | | | |
审计 | 12 | — | — | 2020年和2021年,由于COVID-19,审计已暂停。 |
RBA自我评估问卷(SAQ) | 29 | 59 | 56 | |
非洲区域局完成的自我评估(%) | 78 | % | 88 | % | 89 | % | 该指标衡量改进计划是否在与供应商商定的到期日之前关闭。改进计划是根据RBA SAQ或审计在之前或当前报告期启动的。 |
供应商在所有可持续发展要素上的总体风险水平均为“高”(in #) | — | — | — | 风险水平通过RBA SAQ和ASML评估确定,适用于主要产品相关供应商 |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | |
| | | | | | | | | | | | | | |
我们的供应链-供应链 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
供应商总数 | 5,003 | 4,749 | 4,657 | |
供应商数量,按地区划分: | | | | |
亚洲 | 1,356 | 1,313 | 1,319 | |
欧洲、中东和非洲(不包括荷兰) | 700 | 684 | 702 | |
荷兰 | 1,620 | 1,477 | 1,459 | |
北美 | 1,327 | 1,275 | 1,177 | |
总计 | 5,003 | 4,749 | 4,657 | |
供应商数量,按以下部分划分: | | | | |
产品相关 | 790 | 779 | 772 | |
非产品相关 | 4,213 | 3,970 | 3,885 | |
总计 | 5,003 | 4,749 | 4,657 | 仅限一级供应商 |
供应商数量,按以下部分划分: | | | | |
批判性 | 221 | 222 | 229 | 关键供应商是具有战略重要性的一级供应商 |
非关键 | 4,782 | 4,527 | 4,428 | |
总计 | 5,003 | 4,749 | 4,657 | |
关键供应商的数量,按以下部分划分: | | | | |
产品相关 | 198 | 188 | 197 | |
非产品相关 | 23 | 34 | 32 | |
总计 | 221 | 222 | 229 | |
风险管理范围内的供应商数量 | 212 | 235 | 243 | 其中包括14家关键的N级供应商 |
采购总支出(百万欧元) | 6,683 | 7,645 | 9,045 | |
每个供应商组的采购支出(单位:%) | | | | |
产品相关 | 66 | % | 68 | % | 70 | % | |
非产品相关 | 34 | % | 32 | % | 30 | % | |
本地供应商的支出比例(以%为单位) | | | | 我们将“本地”定义为重要运营地点所在的国家。ASML的主要运营地点是其主要生产基地,位于荷兰Veldhoven;台湾林口;美国圣地亚哥和威尔顿。 |
Veldhoven | 46 | % | 47 | % | 45 | % | Veldhoven的供应商总支出中相对较大的一部分与Carl Zeiss(非本地)有关 |
林口 | 46 | % | 48 | % | 50 | % | |
圣地亚哥 | 89 | % | 94 | % | 92 | % | |
威尔顿 | 66 | % | 71 | % | 64 | % | |
| | | | | | | | | | | | | | |
负责任的商业-商业道德 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
Speak Up消息总数 | 255 | 229 | 396 | 2020年10月,新的行为准则和更新的发声政策将推出。 |
反腐和行贿畅所欲言 | 16 | 19 | 37 | 所有“发声”信息都没有显示任何违反反腐败法的迹象。 |
人权畅所欲言 | 58 | 69 | 187 | |
行为准则在线培训完成率 | 86 | % | 88 | % | 71 | % | |
| | | | |
| | | | |
| | | | | | | | | | | | | | |
负责任的业务-产品安全 |
描述 | 2019 | 2020 | 2021 | 评论 |
已发货产品类型中具有SEMI S2安全指南合规性报告的百分比 | 100 | % | 100 | % | 100 | % | |
因不遵守产品设计相关法律法规而被处以的(重大)罚款数量 | — | — | — | |
| | | | | | | | | | | | | | |
负责任的业务-水管理 | | | | |
描述 | 2019 | 2020 | 2021 | 评论 |
用水量(单位:1,000 m3) | | | | |
Veldhoven | 628 | 658 | 728 | |
圣地亚哥 | 90 | 80 | 105 | |
威尔顿 | 90 | 94 | 95 | |
林口 | 30 | 28 | 26 | |
圣何塞 | — | — | 21 | 自2021年以来,这一指标的适用范围有所扩大。 |
台南 | — | — | 30 | 自2021年以来,这一指标的适用范围有所扩大。 |
其他 | — | — | 36 | 自2021年以来,这一指标的适用范围有所扩大。其他包括超过250 FTE总和的地点。 |
总计 | 838 | 860 | 1,041 | 市政供水 |
| | | | |
超纯净水总消耗量(单位:1,000 m3) | 115 | 127 | 84 | 只有Veldhoven、Linkou和HMI Tainan在该指标的范围内。其他地点不包括在范围内,因为尚未获得关于该指标的报告数据。 |
循环再用水总量(单位:%) | 2.4 | % | 1.8 | % | 1.2 | % | 只有Veldhoven、Linkou和HMI Tainan在该指标的范围内。其他地点不包括在范围内,因为尚未获得关于该指标的报告数据。 |
用水强度 | 71 | 62 | 56 | 水强度按总用水量计算,单位为m3)除以总收入(单位:百万)。 |
重要性评估
对话和知识共享在一个创新驱动的行业中非常重要。为此,我们通过各种渠道和组织内的不同级别,持续和公开地与我们的主要利益相关者群体进行沟通。我们的利益相关者是受我们活动影响的各方,或者与我们公司的长期业务成功有直接关系或能够影响我们公司长期业务成功的人。
我们的重要性进程
我们根据GRI标准制定了我们的重要性评估框架,其中包括利益相关者参与以及识别、分析和优先排序的原则。我们通过三步法进行重要性评估。
| | | | | |
步骤1:确定相关方面 | 输入 |
我们每年更新一份相关主题的入围名单。这些分析基于对利益攸关方反馈、持续利益攸关方参与、风险和机会的分析,以及对相关行业和全球趋势的审查。主题包括那些对我们的利益相关者决策很重要的主题,对于ASML来说,那些可能在组织、价值链或社会中产生环境、社会或经济影响的主题。 | 国际标准和立法,例如:GRI、ISO 26000、TCFD、欧盟非财务报告指令
行业和媒体分析,例如:澳大利亚央行,行业发展报告,以DJSI同行的可持续发展表现为基准
ESG分析师的调查问卷/评估,例如:DJSI,可持续发展,ISS ESG评级,CDP,MSCI ESG指数,FTSE4好
利益相关者参与度:来自定期和不定期的利益相关者沟通、ESG会议和网络的反馈。更多内容请阅读:利益相关者参与。 |
步骤2:分析和确定优先顺序 | 输出 |
我们遵循GRI标准指南,根据利益相关者关注的程度以及我们的业务和运营对环境、社会和经济造成的影响的重要性,对主题的重要性进行评级。 | 我们将长长的主题列表缩小到与我们相关的候选列表。使用现有数据、利益攸关方持续参与的反馈、与高级管理层和管理委员会成员、企业主和其他相关内部利益攸关方(如专题专家)的讨论来衡量这些专题的影响。管理委员会对评估结果进行验证和批准。我们确定了环境、社会和治理主题,这些主题对我们的业务影响最大,也是我们价值链中的利益相关者最关心的。更多请阅读:我们如何创造价值。 |
第三步:确认和实施 | 战略和报告结构 |
重要性评估的结果被用来制定我们的战略,设定长期目标,旨在为我们所有的利益相关者群体创造长期价值。结果还确定了本年度报告的内容,符合GRI定义报告内容的原则。 | 在2018年对可持续发展战略2019-2025年进行的最新评估中,我们确定了17个可持续发展的重要主题,我们将其归类为5个重要的可持续发展主题,以及2个ASML公司特定主题(创新管理和客户亲密度)。这些是与我们的利益攸关方决策最相关的主题,也是反洗钱具有或可能产生最大影响的领域。对于每一个重要主题,我们都确定了我们的雄心,并制定了长期目标(2025年)。我们监控进度,衡量绩效,并定期报告,至少每年在年度报告中报告一次。
作为一家致力于以负责任和关怀的方式开展业务的公司,我们还确定了需要解决的其他因素。这些问题包括我们的利益相关者期望我们采取行动的问题或我们有影响的问题。我们已将其归类为“负责任的商业”主题。 更多内容请阅读:下面的重要性矩阵。
我们目前的可持续发展战略于2018年启动,2019年至2025年期间,重点关注五个战略可持续发展领域。我们公司的发展以及对可持续发展的环境、社会和治理(ESG)方面的透明报告的需求日益增长,这促使我们重新评估2021年的可持续发展战略。为此,我们根据主要的可持续性主题及其对我们业务运营的相对重要性,更新了对2022-2025年剩余时期的重要性评估。我们将从2022年起在我们的报告中实施更新的重要性主题。更多内容请阅读:我们的战略。 |
我们也支持联合国通过的联合国可持续发展目标(SDGs)确定的2030年抱负。这些目标旨在保护地球,改善世界各地人民的生活。我们已经制定了我们的战略和目前的努力如何积极支持这些目标。重要性表概述了我们为之作出贡献的五个最相关的可持续发展目标。SDG 9“产业、创新和基础设施”的目标与我们公司的核心相连,因为创新是我们的命脉和推动我们业务的引擎。我们还为可持续发展目标4“优质教育”、可持续发展目标8“体面工作和经济增长”、可持续发展目标12“负责任的生产和消费”和可持续发展目标13“气候行动”做出贡献。在本报告中,我们强调了我们相对于这些可持续发展目标的表现。
重要性矩阵
| | | | | | | | | | | |
利益相关者关注的程度 |
高 | | (E)能源管理(业务) (E)碳足迹 (E)气候变化 | (二)创新管理 (B)客户亲密度 (E)能源管理(产品) (S)人才吸引和留住 (S)人力资本发展 (S)员工敬业度 |
| (S)人权 (S)社区参与 | (S)职业健康安全 (S)多样性和包容性 (S)创新生态系统-初创企业和规模扩大支持 | (E)废物管理 (E)产品监管 (E)循环经济-再利用 (E)循环经济-回收 (S)ESG风险供应链 (S)负责任的供应链 (S)创新伙伴关系 |
低 | (G)水管理 | (G)税收策略 (G)融资政策 | (B)卓越运营 (G)商业道德与法规遵从 (G)信息安全 (G)知识产权保护 (G)产品安全 (G)企业风险管理 |
| 低 | | 高 |
| | | 对ASML的影响程度 |
材料主题、主题及其对价值链的影响 | | | | | | | | | | | | | | | | | | | | |
| | | 冲击区域 |
材料主题 | 主题 | GRI主题 | 上游供应商和合作伙伴 | 我们的业务 | 下游客户和社会 | SDG |
商业相关 | | | | | | |
创新管理 | •核心战略 •技术和创新 •研发 •产品路线图 | 不适用 | þ
| þ þ þ þ |
þ
þ | SDG 9 |
客户亲密度 | •客户反馈调查 •卓越的运营 •客户参与 | 不适用 | | þ þ þ | þ þ þ | |
环境 | | | | | | |
气候与能源 | •能效产品 •能源消耗极紫外线 •范围1排放 •范围2排放 •范围3碳足迹 •可再生能源 •气候变化 | a.302:能量 b.305:排放 | þ
þ | þ þ þ þ þ þ þ | þ þ
þ
þ | SDG 13 |
循环经济 | •废物管理-减少 •循环经济-再利用 •循环经济-回收 | a.306:污水和废物 | þ þ | þ þ þ | þ þ | SDG 12 |
社交 | | | | | | |
我们的人民 | •文化与价值观 •员工体验 •员工敬业度 •雇主劳动力市场品牌 •人力资本发展 •吸引和留住 •多样性与包容性 •劳动实践 | a.401:就业 b.404:培训和教育 c.405:治理机构和员工的多样性 | | þ þ þ þ þ þ þ þ | þ þ
| 持续发展目标4 SDG 8 |
创新生态系统 | •创新伙伴关系 •创新管道 •支持初创和扩展 | 不适用 | þ
| þ þ þ | þ þ | SDG 9 |
负责任的供应链 | •负责任的供应链-供应商可持续发展标准(RBA)和绩效 •供应链中的ESG风险 | a.204:采购做法 b.308:供应商环境评估 c.414:供应商社会评估 | þ
þ | þ
þ | þ | SDG 8 |
除了上述重要主题和主题外,我们的利益相关者还感兴趣的其他主题,我们认为这些主题是良好的公司治理和实践,但对我们的利益相关者来说并不那么重要,对ASML的影响也不那么重要。我们将其定义为负责任的商业主题:商业道德、守法、反贿赂和反腐败、竞争法、隐私保护、人权、信息安全、知识产权保护、产品安全、水资源管理、卓越运营、融资政策和税收政策。我们以更简洁的方式报道这些主题。
经济表现和公司治理是根据GRI标准的一般披露分类的主题。虽然它们没有在重要性矩阵中映射,但相关信息在我们公司的年报中披露。
管理可持续性
我们通过一个强大的框架来管理ESG可持续性,该框架由多个级别管理,以推动问责和执行,其中包括管理委员会、ESG可持续发展委员会、ESG可持续发展办公室、主题特定行动所有者和专家。
我们的管理委员会批准并签署了我们的ESG可持续发展战略。他们负责制定政策和监督ASML的ESG可持续战略,以及其遵守法律和报告要求的情况。这包括处理与该战略有关的主要风险和机会。管理委员会定期开会,就相关问题提供指导,包括与气候有关的风险和机会。
ESG可持续发展委员会(SC)由管理委员会成员和高级管理人员组成,由我们的首席执行官和首席运营官领导。ESG SC的目标是在公司范围内优化协调和调整。ESG SC负责制定全公司范围的ESG可持续发展政策,并全面负责监控和审查ESG可持续发展关键绩效指标,以跟踪进度。这还包括应对气候变化问题的倡议和行动。ESG SC同样注重创造积极的社会和环境影响。
我们的ESG可持续发展办公室负责监督和实施我们的ESG可持续发展战略,并为ESG SC提供便利,例如促进可持续发展管理政策和目标的实现。此外,可持续发展办公室的任务是确定可能影响各种短期、中期和长期可持续发展目标的关键问题、风险和机遇(包括与气候变化有关的问题)、全球趋势和(同行)最佳做法。
每个材料和负责的业务主题都分配给一名高级管理人员,并由一名主题专家提供支持。每位高级管理人员负责ESG可持续发展战略的关键绩效指标,并负责根据商定的目标监测进展情况,并确保有足够的可用资源来实现各项目标和目标。如果进展不足,将在业务业绩审查会议上讨论这一问题,并在ESG SC会议上提出。
此外,我们通过企业风险管理(ERM)程序识别和评估与气候有关的风险和机遇的影响。我们从自上而下(公司级别)和自下而上(组织和流程级别)两个角度评估风险。我们的风险管理和控制系统基于识别可能影响我们的运营、业务连续性和财务目标的外部和内部风险因素。它包含一个多学科评估、监测、报告和业务审查系统。主要的价值链阶段包括但不限于我们的直接运营、上游(我们的供应链)和下游(我们的客户)价值链。
我们在可持续发展领域的业绩,如重要性表中所述,是我们管理委员会和高级管理层长期激励计划的一部分。我们通过基准我们的结果来衡量我们的整体可持续发展表现
年度综合道琼斯可持续发展指数(DJSI)-评估20多个ESG主题-拥有半导体行业的最佳表现。 更多内容请阅读:薪酬报告。
利益相关者参与
我们将利益相关者定义为能够影响我们的业务或可能受到我们业务影响的个人或团体或组织。我们考虑五个利益相关者群体:股东、客户、供应商(包括承包商)、员工和社会(例如,当地社区、政府和当局、行业工会、劳工组织、其他协会、媒体和非政府组织)。
持续的利益相关者参与,即我们拥抱开放对话和知识共享,在一个创新驱动的行业中非常重要,有助于我们确定需要改进的领域。我们通过各种渠道和不同层面与利益相关者进行沟通。参与的方法将根据利益攸关方、关注的问题和参与的目的而有所不同。下表概述了我们的主要利益相关者群体、我们与他们沟通的方式以及与他们最相关的主题概述。
股东
目的:这一群体由现有股东、潜在的主动和被动投资者、金融和ESG分析师组成。我们的目标是帮助他们了解我们的(长期)投资机会。我们与他们就我们的财务增长战略和机会、财务业绩和前景、股东回报以及我们的可持续发展战略进行沟通。
| | | | | | | | |
主要通信渠道和频率 | 主要参与主题 | 我们物质性的主题 |
•与投资者关系部门直接互动(例如电话、ESG绩效调查、电子邮件交换、现场访问-在ASML和/或投资者处)- [每天] •年度股东大会- [每年一次] •投资者日- [每半年] •公司季度业绩介绍和新闻稿- [每季度] •各种投资者会议和路演- [在发生时] •各种可持续发展问卷、评估和调查反馈- [在发生时,其中大部分是年度重复发生的] | •财务业绩 •资本回报 •市场前景展望 •产品和终端市场 •客户采用 •地缘政治 •业务摘要 •公司路线图和产品组合 •ESG目标:人力资本开发、碳足迹、废物、回收、能源消耗、供应链中的社会责任 •董事会多元化和薪酬 | •财务业绩 •技术与创新生态系统 •客户亲密度 •我们的人民 •我们的供应链 •循环经济 •气候和能源 •我们如何管理风险 •负责任的企业 •治理 |
顾客
目的:我们是一家领先的芯片制造设备制造商。我们使客户能够创建定义芯片上电子电路的图案。我们的客户是世界领先的微芯片制造商,我们的成功与他们的成功密不可分。
| | | | | | | | |
主要通信渠道和频率 | 主要参与主题 | 我们物质性的主题 |
•客户反馈调查- [每半年] •通过客户团队和区域质量经理直接互动 •客户之声会议- [每月一次] •技术审查会议(我们的CTO、产品经理、其他高管和我们的主要客户之间)- [每半年] •高管审查会议(ASML高管与主要客户之间)- [每半年] •不同的技术研讨会和特别活动- [在发生时] | •产品和技术 •客户路线图 •创新 •客户支持、拥有成本和质量 •ESG目标:碳足迹、能源消耗、供应链社会责任(RBA) | •技术与创新生态系统 •客户亲密度 •卓越的运营 •负责任的供应链 •循环经济 •气候和能源 |
供应商
目的:我们非常依赖我们的供应商网络来实现我们努力追求的创新。我们的目标是确保我们获得所需的产品、材料和服务,以满足我们的短期和长期需求。为此,我们投资发展我们的供应格局,以帮助供应商满足我们在质量、物流、技术、成本和可持续发展方面的要求。我们致力于建立负责任和可持续的供应链。
| | | | | | | | |
主要通信渠道和频率 | 主要参与主题 | 我们物质性的主题 |
•ASML的供应商日- [每年一次] •通过供应商客户团队/采购客户经理直接互动- [每天] •供应商审计- [在发生时] •现场参观- [在发生时] •时事通讯- [每月一次] •RBA自我评估问卷- [每年一次] •ASML大声疾呼服务- [在发生时] | •产品和技术 •QLTCS •供应商绩效和风险管理 •IP /信息安全 •业务连续性 •澳大利亚商业银行合规性(道德、劳动实践、健康和安全以及环境) •恐怖(自然)资源、3 TG、危险物质等。 •循环性(重复使用、回收、翻新) •范围3碳足迹 | •技术与创新生态系统 •我们的供应链 •负责任的供应链 •负责任的企业(包括人权) •循环经济 •气候和能源 |
员工
目的:我们希望提供一个统一的方向,并将ASML的身份定位在组织深处。为此,我们的目标是帮助人们接受我们的价值观,熟悉我们的战略和宗旨,并维护我们的行为准则原则。员工敬业度对公司的成功至关重要,雇主品牌使我们能够吸引人才。我们致力于良好的劳动实践和尊重人权。
| | | | | | | | |
主要通信渠道和频率 | 主要参与主题 | 我们物质性的主题 |
•员工敬业度调查- [每年一次] •培训和发展计划,包括员工评估/反馈- [在发生时] •ASML大声疾呼服务- [在发生时] •劳资委员会- [每季度] •员工网络,例如Young ASML、Women@ASML、Seniors@ASML、Pink ASML - [在发生时] •内部沟通和意识(例如内联网、道德计划、部门员工会议、与董事会成员共进午餐)- [每天] •入职计划新员工- [在发生时] •全体员工会议和高级管理人员会议- [每年一次] | •培训和发展 •行为/道德守则 •战略 •多样性和包容性 •劳动条件 •活力 •人权 •可持续性目标和绩效 | •技术与创新生态系统 •我们的员工(员工发展、劳资关系、公平薪酬) •负责任的供应链 •循环经济 •气候和能源 •负责任的企业 |
社会
目的:我们致力于以负责任和关怀的方式为我们的员工和我们运营的更广泛的社区开展业务。作为全球技术领导者和雇主,我们在运营所在的当地社区发挥着积极的作用。我们定期与政府和(地方)当局、行业工会和协会、(地方)社区、大学、媒体和非政府组织进行接触。
| | | | | | | | |
主要通信渠道和频率 | 主要参与主题 | 我们物质性的主题 |
行业工会和协会 | •员工发展 •慈善、赞助和捐赠 •创新合作 •加强行业、社会和经营场所的创新 •社会和环境责任 •推动STEM教育 •地方性发展 | •技术与创新生态系统 •客户亲密度 •社区参与 •负责任的企业(人权、道德、隐私、ABC政策等) •我们的员工(员工发展、劳资关系、公平薪酬) •气候和能源 •循环经济 •我们如何管理风险 |
•会员会议和技术论坛(例如RBA、SEMI、FME、VNO-NCW、SPIE等)- [每月/发生时] •成员就标准进行咨询- [在发生时] •大脑波特- [在发生时] |
政府和当局 |
•与税务机关对话- [每月/发生时] •相关欧盟圆桌讨论(半导体产业或创新)- [在发生时] •合规报告- [每月/发生时] •与政府、当局和市政当局积极对话- [在发生时] |
社区、大学、媒体、非政府组织、其他 |
•www.asml.com www.example.com [每天] •社区参与计划(中学和大学、文化机构、当地社区等的STEM推广) - [在发生时] •年轻的高科技社区(HighTechXL、Make Next平台、初创联盟)- [每天/在发生时] •公司参观- [在发生时] •新闻稿、采访、参与电话/会议等- [在发生时] |
附录-首席会计师费用和服务
截至2021年和2020年12月31日止年度,毕马威一直担任我们的独立注册会计师事务所。下表列出了毕马威及其成员公司和关联公司在2021年和2020年提供的专业审计服务和其他服务的总费用:
| | | | | | | | | | | | | | | | | | | | |
截至十二月三十一日止的年度 | 2020 | 2021 |
(欧元,千) | 毕马威会计师事务所 | 毕马威网络 | 总计 | 毕马威会计师事务所 | 毕马威网络 | 总计 |
审计费 | 2,246 | | 1,090 | | 3,337 | | 2,449 | | 1,047 | | 3,496 | |
审计相关费用 | 88 | | — | | 88 | | 90 | | — | | 90 | |
税费 | — | | — | | — | | — | | — | | — | |
所有其他费用 | 37 | | — | | 37 | | 27 | | — | | 27 | |
总会计师费用 | 2,371 | | 1,090 | | 3,461 | | 2,566 | | 1,047 | | 3,613 | |
审计费和与审计有关的费用
我们的独立注册会计师事务所是毕马威会计师事务所(毕马威)荷兰阿姆斯特尔文,审计师事务所ID:1012。审计费用涉及本年报、某些季度程序、与发售备忘录(仅限2020年)相关的服务以及我们对子公司的法定和监管备案文件中列出的财务报表的审计。这些费用与各自财务报表的审计有关,无论这项工作是否在财政年度内进行。其他与审计有关的费用与非财务信息的保证服务有关。
其他(非审计)服务涉及已达成目标的某些议定程序,以便薪酬委员会评估薪酬政策的遵守情况,以及就美国预付定价协议的程序达成一致。
独立核数师提供的所有审计费用、审计相关费用和许可服务均须经审计委员会事先批准。审计委员会预先核准了2021年和2020年100%的外部审计计划和审计费用。
审计委员会监测荷兰、欧盟法规和SEC关于独立注册公共会计师事务所提供非审计服务的规则的遵守情况,这些规则概述了荷兰公共利益实体的审计和咨询服务的严格分离。
附录--财产、厂房和设备
我们以经营租赁的形式出租了许多设施。我们还拥有多座建筑,主要包括位于荷兰维尔德霍温、康涅狄格州威尔顿和加利福尼亚州圣地亚哥的生产设施,以及位于台湾和韩国平泽的林口和台南的生产设施。截至2021年12月31日,拥有的土地和建筑的账面价值为18.56亿欧元,而截至2020年12月31日的账面价值为15.896亿欧元。见合并财务报表--合并财务报表附注--附注13财产、厂房和设备,净额。
我们2021年、2020年和2019年的资本支出(房地产、厂房和设备的购买,见合并财务报表中记录的合并现金流量表)为o 9.07亿欧元,分别为9.62亿欧元和7.666亿欧元。与2020年相比,2021年的资本支出略有减少,用于扩建和升级设施、原型、评价和培训系统。
视市场情况而定,我们预期2022年的资本开支(购置物业、厂房及设备)约为阿特利16亿欧元。牛膝E支出将主要用于设施的进一步扩建和升级。我们预计将通过运营产生的现金以及现有的现金和现金等价物为这些资本支出提供资金。
在欧洲的设施
我们的总部,主要是制造和研发设施,位于荷兰维尔德霍温的一个地点。这一最先进的设施包括187,000平方米的办公空间和58,000平方米的洁净室用于制造和研发活动,以及53,000平方米的仓库。我们在荷兰维尔德霍温(以及更大的埃因霍温地区的其他建筑)的主要设施是部分拥有和部分租赁的办公和工业建筑。我们还在欧洲各地租赁了几个销售和服务办事处,总面积为3000平方米。今年,我们将柏林的网站添加到了我们的投资组合中。
在美国的设施
我们的美国总部位于亚利桑那州钱德勒一栋5000平方米的办公楼内。我们在康涅狄格州威尔顿拥有42000平方米的研发和制造设施和8000平方米的仓储设施,在加利福尼亚州圣何塞拥有总计17000平方米的主要办公和研发设施。此外,我们在圣地亚哥总计46000平方米的设施包括用于办公和研发活动的2.9万平方米的建筑,用于制造和研发活动的1万平方米的建筑,以及用于仓储的7000平方米的建筑。我们位于加利福尼亚州圣何塞的HMI设施主要用于研发和本地销售和服务活动,占地约3.4万平方米。
在亚洲的设施
我们的主要地点是台湾、韩国和中国,我们在那里有当地的服务、销售和制造活动。我们在台湾林口的工厂由大约3000平方米的制造设施和大约5000平方米的办公空间组成。我们在韩国华城的工厂由大约0.9平方米的洁净室和大约7,000平方米的办公空间组成。我们位于韩国平泽的Cmer工厂是一家制造工厂,主要用于光源的翻新活动。我们的人机界面设施包括台湾台南(约2万平方米)用于制造和办公空间,以及北京、中国(即9000平方米)用于制造和办公空间。我们还在亚洲各地设有多个销售、服务和培训地点。最后,我们在香港有地区性服务活动。
附录--荷兰税收
以下陈述是荷兰现行税收法律、法规及其司法解释的摘要。本说明仅限于就荷兰税务目的而言不是及/或不被视为荷兰居民的普通股持有人(“非居民持有人”)的重大税务影响。本摘要并不涉及可能适用于特殊类别普通股持有人的特别规则,亦不应被理解为含蓄地延伸至本文中未特别提及的事项。至于个人税务后果,我们普通股的每一位投资者都应该咨询他或她的税务顾问。
一般信息
就荷兰税收而言,非荷兰居民收购普通股本身不应被视为应税事件。与拥有和处置我们的普通股相关的重大税收后果如下所述。
相当大的利益
任何人(除其他外)直接或间接并独立或与其合作伙伴(定义见2001年荷兰个人所得税法),拥有我们5.0%或以上的股本,拥有至少相当于荷兰公司年度利润的5.0%或该公司清算收益的至少5.0%的利润分享权,或持有购买我们股本5.0%或以上的选择权,被视为在我们的股份或我们的期权中拥有重大权益(视情况而定)。在非居民持有人的某些家庭成员持有重大权益的情况下,适用特定规则。在未确认应税收益的交易中,如果(部分)重大权益已被处置或被视为已处置,则也存在被视为重大权益。在确定是否存在实质性利益方面存在特定的归属规则。
非居民个人持有和处置普通股的所得税后果
非居民持有人不须就普通股的收入或出售、交换或以其他方式处置普通股所得的资本收益缴纳荷兰所得税,条件是:
•未通过普通股归属的(被视为)常设机构或常驻代表在荷兰经营业务;
•没有也没有在我们的股本中持有(被视为)重大权益,或在非居民持有人持有或曾经持有(被视为)我们股本中的重大权益的情况下,该权益是或曾经是持有人手中的商业资产;
•没有也没有直接分享(通过普通股或类似证券的实益所有权)在荷兰管理和控制的企业的利润,该企业被视为拥有(S)或(被视为已经拥有)我们的普通股;以及
•并无进行任何在荷兰产生应课税利润或在荷兰产生与持有我们普通股有关的应课税收入的活动。
企业所得税对企业非居民持有人的影响
非居民公司股东出售、交换或处置普通股所得的普通股所得或出售、交换或处置普通股所得的资本利得,在下列情况下应纳税:
•持有人通过在荷兰的常设机构或常驻代表(荷兰企业)在荷兰经营业务,普通股归属于该常设机构或常驻代表,除非适用参与豁免(以下讨论);或
•持有人对我们的股本拥有重大权益,而持有股本的主要目的或其中一个主要目的是避免在另一人的水平上征收所得税,而该股本并不是以反映经济现实的正当商业理由而实施的;或
•持股人是阿鲁巴、库拉索岛或圣马丁的居民,在博内尔、尤斯特里乌斯或萨巴设有常设机构或常驻代表,我们的普通股属于该机构,且符合某些条件;或
•根据荷兰税法,持有人的某些资产被视为荷兰企业,普通股归属于该荷兰企业.
要获得荷兰参与豁免的资格,持有者通常必须至少持有我们名义实收资本的5.0%,并满足某些其他要求。
股息预提税金
一般来说,我们就普通股分配的股息将被荷兰按15.0%的法定税率征收预扣税。
红利包括:
•现金股利和实物股利;
•被视为和建设性的股息;
•回购或赎回超过合格平均实收资本的普通股的对价(包括由ASML的直接或间接子公司购买),除非此类回购是出于临时投资目的或法律豁免;
•不超过面值的股票股息(除非从符合条件的实收资本中分配);
•任何(部分)偿还不符合荷兰股息预扣税要求的实收资本;以及
•清算收益超过荷兰股息预扣税的合格平均实收资本。
在某些情况下,可以获得荷兰股息预扣税的减免:
•如果参与豁免适用,且普通股归属于在荷兰开展的业务,则可获得来源豁免;
•向若干符合资格的欧盟/欧洲经济区居民企业持有人分派股息可获得来源豁免,除非该持有人持有我们的普通股的主要目的或主要目的之一是避免在另一人层面征收荷兰股息预扣税,且我们的普通股并非出于反映经济现实的有效商业原因而持有;
•向某些符合条件的企业持有人分配股息,这些企业持有人是非欧盟/欧洲经济区司法管辖区的居民,荷兰已与该司法管辖区缔结了包括股息条款的税务条约,除非该持有人持有我们的普通股的主要目的或主要目的之一是避免在另一个人的层面征收荷兰股息预扣税,且我们的普通股不由于反映经济现实的正当商业理由而持有;
•驻留在欧盟/欧洲经济区成员国或符合条件的非欧盟/欧洲经济区成员国的某些免税组织(例如养老基金和不包括集体投资工具)可能有资格在提出要求时退还荷兰股息预扣税。根据尚未生效的国内法,在这些情况下,也可以根据请求获得源头豁免;
•应要求并在某些条件下,居住在欧盟/欧洲经济区成员国或符合条件的非欧盟/欧洲经济区成员国的某些符合条件的非居民个人和公司普通股持有人可能有资格获得荷兰股息预扣税,前提是所征收的预扣税高于如果他们是荷兰居民应缴纳的个人和公司所得税。
此外,根据荷兰和非居民持有者居住国之间生效的税收条约,非居民普通股持有人有资格部分或全部免除或退还上述全部或部分预扣税。荷兰已与美国、加拿大、瑞士、日本、大多数欧盟成员国以及许多其他国家缔结了此类条约。根据《美国和荷兰关于避免对所得双重征税和防止逃税的条约》(《美国税收条约》),我们向属于《美国税收条约》所界定的美国居民的非居民持有人(以下讨论的豁免组织或豁免养老金信托除外)支付的股息一般应缴纳15.0%的荷兰预扣税,或在某些直接拥有我们至少10.0%投票权的美国公司股东的情况下,降至5.0%,只要持有人是收到的股息的实益所有人,并且不拥有企业或在企业中的权益,而该企业全部或部分是通过股息归属的荷兰常设机构或常驻代表经营的。美国税收条约也规定了对股息的股息预扣税豁免,但只适用于直接拥有我们至少80.0%投票权并满足所有其他要求的股东。美国税收条约规定,根据该条约的定义,豁免养老金信托和豁免组织收到的股息完全免税。除获得豁免的组织外,只要在支付股息前提交了适当的表格,降低的股息预提税率(或免提股息)可在股息支付时在来源处适用。获得豁免的组织原则上仍然适用15.0%的法定扣缴率,并被要求申请退还这种扣缴,但当上述国内法生效时,这些组织可能在源头上有资格获得豁免。
非居民持有人不得要求美国税务条约的利益,除非(i)他/她是其中定义的美国居民,或(ii)他/她根据美国税务条约第24(4)条的规定被视为居民,及(iii)他或她享有该等福利的权利不受《美国税务条约》第26条(福利限制)的规定所限制。
股息剥离规则
根据有关反股息剥离的荷兰税法,倘我们所派付股息的收件人并非该等股息的实益拥有人,则不会获豁免或退还荷兰股息预扣税。
赠与税或遗产税
荷兰赠与税或遗产税将不会对普通股转让或非居民持有人死亡征收,除非转让被解释为继承或由或代表某人作出的赠与,该人在赠与或死亡时被视为荷兰居民。
对受益人征收赠与税和遗产税。就荷兰赠与税和遗产税而言,荷兰国籍的个人如果在赠与或死亡前十年内的任何时间都是荷兰居民,则被视为荷兰居民。就荷兰赠与税而言,不具有荷兰国籍的人如果在赠与前12个月内的任何时间居住在荷兰,则被视为荷兰居民。
增值税
我们普通股的股息或我们股票的转让不征收荷兰增值税。
住宅
非居民持有人不会纯粹因为持有我们的普通股或执行、履行、交付及/或执行有关我们普通股的权利而成为荷兰居民或被视为荷兰居民。
美国税收
以下是关于美国持有者(定义如下)以非荷兰税务居民的实益所有人的身份收购、拥有和处置普通股所产生的重大美国联邦所得税后果的讨论。本讨论仅涉及作为资本资产持有的普通股,不涉及适用于所有类别投资者的税收后果,其中一些投资者(如免税实体、金融机构、受监管的投资公司、选择按市值计价的证券交易商/证券交易商、保险公司、直接、间接或建设性拥有我们已发行有表决权股份10.0%或以上的投资者、作为对冲或转换交易一部分持有普通股的投资者、以及功能货币不是美元的投资者)可能受到特别规则的约束。此外,讨论没有涉及任何替代最低税或任何州、地方、外国房地产投资税法相关的美国联邦所得税后果,或非美国税收后果。
本讨论的基础是美国-荷兰所得税条约、1986年《国内税法》(经修订至此日期)、颁布的最终的、临时的和拟议的财政部条例及其行政和司法解释,其中任何在此日期之后的更改都可能具有追溯效力,可能会影响本文所述的税收后果。此外,不能保证美国国税局不会质疑本文所述的一个或多个税收后果,我们还没有、也不打算获得美国国税局关于收购或持有股份的美国联邦所得税后果的裁决或律师的意见。建议普通股的潜在购买者就其特定情况以及他们可能受到的美国联邦、州、地方或非美国税法的影响咨询其税务顾问。
如本文所用,“美国持有者”一词是指为美国联邦所得税目的而持有普通股的实益所有人,其持有的普通股不构成荷兰常设机构或固定基地的商业财产或资产的一部分;完全有权就此类普通股享受条约的利益;并且:
•美国的个人公民或税务居民;或
•为美国联邦所得税目的而在美国或其任何政治分区内或根据美国法律设立或组织的公司或其他实体;或
•其收入应缴纳美国联邦所得税的遗产,无论其来源如何;或
•一种信托,其管理受到美国境内法院的主要监督,并有一名或多名美国人有权控制其所有重大决定。
如果出于美国联邦所得税的目的,被视为合伙企业的实体拥有普通股,则此类合伙企业中合伙人的美国联邦所得税待遇通常将取决于合伙人的身份和纳税居住地以及合伙企业的活动。拥有普通股的合伙企业和该合伙企业的合伙人应就持有和处置普通股的美国联邦所得税后果咨询其税务顾问。
被动型外商投资公司应注意的问题
我们相信,就美国联邦所得税而言,我们在2021年不是被动的外国投资公司,2022年我们也不会是被动的外国投资公司。然而,由于被动外国投资公司的地位是一个事实,必须在每个课税年度结束时确定,因此,在有关的纳税年度结束之前,我们在任何特定年度的实际被动外国投资公司地位都不能确定。我们目前还没有进行详细的研究,以确认我国非被动型外商投资公司的地位。如果我们被视为被动的外国投资公司,在任何一年期间,美国持有者持有普通股,可能会产生某些不利的税收后果。投资者应就任何被动外国投资公司的考虑咨询他们的税务顾问。
股息的课税
美国持有人一般应在总收入中包括我们从当前或累计盈利和利润(根据美国联邦所得税目的而确定)中作出的任何非清算性分派(在减少荷兰预扣税之前)的总额,作为外国来源股息收入。分派将不符合资格就从其他美国公司收取的股息一般允许美国公司扣除的已收股息扣除。包括在美国持有人收入中的股息分配数额应是所支付的外币(例如欧元)的美元价值,由分配日期的即期汇率确定,而无论支付是否实际上转换为美元。超出当期及累计盈利及溢利的分派(按美国联邦所得税目的厘定)将被视为不应课税的资本回报,以美国持有人就普通股的美国税基为限,其后被视为应课税资本收益。我们目前并无根据美国联邦所得税原则计算我们的盈利及溢利。倘吾等不向美国持有人报告超过盈利及溢利的部分分派,则该分派一般须作为股息应课税,即使该分派根据上述规则被视为不应课税的资本回报或资本收益。
在美国国内税收法典规定的限制下,美国持有人一般可从其美国联邦应课税收入中扣除合格荷兰预扣税金额,或从其美国联邦所得税负债中扣除合格荷兰预扣税金额。然而,荷兰预扣税只有在美国持有人不要求扣除该年度已支付或应计的任何荷兰或其他非美国税款时,方可计入。此外,荷兰股息预扣税可能无法计入美国持有人的美国税务责任,因为我们无需向荷兰税务管理局支付预扣税金额。目前,从合资格的非荷兰附属公司收取股息的荷兰公司可将从该等股息中预扣的来源国税款抵荷兰公司支付股息征收的荷兰预扣税,最多为荷兰公司支付股息的3.0%。该抵免减少了我们须向荷兰税务管理局支付的股息预扣税金额,但不会减少我们须从股息预扣税的税款金额。
就美国外国税收抵免而言,我们支付的股息一般将被视为外国来源收入,并被视为“被动类别收入”(或对某些持有人而言,被视为“一般类别收入”)。美国持有者在出售或交换普通股时实现的收益或损失一般将被视为美国来源的收益或损失。管理外国税收抵免的规则很复杂,我们建议每个美国持有者咨询他或她自己的税务顾问,以确定是否可以获得外国税收抵免,以及在多大程度上可以获得外国税收抵免。
美国持有者收到的股息通常将按普通所得税税率征税。然而,经2004年《工作家庭税收减免法》、2004年《美国就业创造法》、《2012年美国纳税人救济法》以及最近的《2017年税改法》(第115-97号公法)修订的2003年《就业和增长税收减免协调法》将个人收到的某些股息的最高税率降至20.0%,只要某些例外情况不适用,且股票在除息日前60天开始的121天期间内至少持有60天。从“合格外国公司”获得的股息通常有资格享受较低的税率。在以下情况下,非美国公司(被动外国投资公司除外)一般将被视为合格外国公司:(I)非美国公司的股票可随时在美国成熟的证券市场上交易,或(Ii)非美国公司有资格享受与美国的全面所得税条约的好处,该条约已被确定为合格条约,并包含信息交流计划。此外,在收入限制的限制下,美国个人和美国居民、遗产和信托基金收到的股息将按3.8%的税率征收净投资所得税(NIIT)。美国个人持有人应就这一规定对其特定情况的影响咨询其税务顾问。
就被动活动损失的使用限制而言,我们支付的股息一般将构成“投资组合收入”(因此,一般不能被被动活动损失抵消),而就投资利息费用扣除的限制而言,我们支付的股息通常将构成“投资收益”。
出售或以其他方式处置普通股的税收
出售普通股或以其他方式处置普通股时,美国持有人一般会就美国联邦所得税确认资本收益或亏损,金额相当于已变现金额(如以美元支付)或已变现金额(以出售结算日的现货汇率厘定)与美国持有者在该等普通股中的美国课税基准(以美元厘定)之间的差额。一般来说,如果美国持有者在出售或其他处置普通股时持有普通股的期限超过一年,则资本收益或损失将是长期资本收益或损失。就美国联邦所得税而言,资本损失的扣除额受到限制。出售或以其他方式处置普通股的收益或损失一般将被视为美国来源的收入或损失,用于美国外国税收抵免目的。一般而言,在出售普通股之日至出售所得款项兑换成美元之日这段期间内,因汇率波动而产生的任何损益,将视作来自美国境内的普通收入或亏损。每名美国持股人在计算其经调整的美国税基时,应就适用的换算规则咨询其税务顾问,以及以美元以外的货币购买、出售或处置其普通股时的变现金额。
信息报告和备份扣缴
可以向IRS提交与普通股付款或出售、赎回或其他处置普通股所得款项有关的信息申报表。如果受益所有人未能向付款代理人提供正确的纳税人识别号码,并未能遵守某些认证程序或以其他方式建立备份预扣税豁免,则可对这些付款适用并预扣税。根据备用预扣规则预扣的任何金额可能会被退还(或计入受益所有人的美国联邦所得税负债,如有),这取决于事实并提供所需信息给国税局。
上述讨论仅供一般性参考,可能不适用于持有人的具体情况。持股人应就购买、拥有和处置股份对他们的税收后果咨询他们的税务顾问,包括州、地方和其他税法下的税收后果,以及美国联邦和其他税法变化可能产生的影响。
附录-政府规例
我们的业务在我们的客户或我们开展业务的每个国家都受到直接和间接法规的约束,各种法规的变化可能会对我们的业务产生不利影响。随着我们业务的扩大,我们受到越来越多和越来越复杂的监管。实施新的安全、环境或法律要求,包括出口管制和所需的许可和许可证,或在解释、实施或执行此类法规和要求方面的变化,可能会影响我们的产品、我们的制造或分销流程或销售地点,并可能影响产品推出的时间、我们生产的成本、产品及其在我们经营的每个市场的商业成功。这些法规的影响可能会对我们的业务、财务状况和我们的运营结果产生不利影响,即使具体法规不直接适用于我们或我们的产品。更多内容请阅读:我们在2021年的表现-治理-风险因素-法律和合规。
附录-优惠和上市详情
我们的普通股以注册ASML NASDAQ股票和注册ASML Euronext阿姆斯特丹股票的形式上市交易。我们普通股的主要交易市场为阿姆斯特丹泛欧交易所(交易代码:ASML)。我们的普通股也在纳斯达克交易(交易代码:ASML)。
我们在纳斯达克上市的股份在摩根大通银行注册,根据ASML与JPMorgan Chase Bank N.A.之间的过户代理协议条款,我们在阿姆斯特丹泛欧交易所上市的股份通过荷兰中央证券托管和管理系统Euroclear Nederland的设施以非物质化形式持有。纽约过户代理向股东收取最高每100股5美元的费用,用于将我们在纳斯达克上市的股份交换为我们在泛欧交易所上市的股份,反之亦然。
本公司于纳斯达克上市之股份之应付股息乃以欧元宣派,并按管理委员会厘定之日期营业时间结束时之汇率换算为美元。所得款项透过纽约过户代理分派,我们在纳斯达克上市的股份持有人毋须就此转换或分派支付任何费用。
根据转让代理协议的条款,吾等已同意向纽约转让代理偿还若干自付费用,包括与邮寄ASML向普通股持有人普遍提供的任何通知、报告或其他通讯有关的开支。纽约转账代理已免除与我们在纳斯达克上市的股票相关的ASML常规服务费用。此外,纽约转让代理公司考虑到其作为转让代理的角色,已同意出资支付ASML因发行和转让我们在纳斯达克上市的股票而产生的某些费用。在截至2021年12月31日的年度内,转让代理出资50万美元用于支付ASML产生的费用(主要包括因我们的股票在纳斯达克上市而产生的审计、咨询、法律和上市费用)。
附录--材料合同
ASML和Carl Zeiss SMT GmbH之间的框架协议
2021年7月21日,ASML荷兰公司和Carl Zeiss SMT GmbH签署了一项新的总体框架协议,涵盖了他们关系的整个范围(ASML-SMT业务协议)。
更多细节见附注26:相关方和可变利益实体。
附录-外汇管制
在阿姆斯特丹泛欧交易所上市的我们的股票,如果有以欧元支付的现金分配,可以由荷兰的银行正式转账,并兑换成任何其他货币,而不受任何荷兰法律的限制。然而,出于统计目的,此类付款和交易必须由反洗钱组织向荷兰中央银行报告。此外,不得向受荷兰政府为执行联合国安全理事会决议而通过的某些制裁的司法管辖区支付任何款项,包括支付股息。我们在纳斯达克上市的股票的现金分配(如果有)应以欧元申报,但以美元支付,并按管理委员会根据组织章程为此目的指定的日期收盘时的汇率兑换。
附录-展示的文件
我们必须遵守《交易法》的某些报告要求。作为一家“外国私人发行人”,我们不受《交易法》规定的有关委托书征集的某些披露和程序要求的规则的约束,我们的高级管理人员、董事和主要股东在购买和出售股票时,也不受《交易法》第16节所载的申报和“短期”利润回收条款的约束。此外,我们不需要像其证券根据《交易法》登记的非外国私人发行人的公司那样频繁或迅速地向美国证券交易委员会提交报告和财务报表。然而,我们被要求在每个财政年度结束后4个月内向美国证券交易委员会提交一份Form 20-F年度报告,其中包含由独立会计师事务所审计的财务报表和包含可扩展商业报告语言的财务报表的互动数据。我们根据美国公认会计准则在每个季度结束后公布未经审计的中期财务信息。我们以6-K表格的形式向美国证券交易委员会提供本季度财务信息。
我们向美国证券交易委员会提交的文件在美国证券交易委员会的网站上公开提供,该网站包含有关需要向美国证券交易委员会电子提交的注册者的报告和其他信息。该网站的地址是http://www.sec.gov.
附录-控制和程序
披露控制和程序
截至2021年12月31日,在ASML首席执行官和首席财务官的监督下,ASML的高级管理层在首席执行官和首席财务官的参与下,对ASML的披露控制和程序(如《交易法》第13a-15(E)条所定义)的设计和操作的有效性进行了评估。基于这样的评估,ASML的首席执行官和首席财务官得出结论,截至2021年12月31日,ASML的披露控制和程序有效地记录、处理、汇总和报告ASML根据《交易法》提交或提交的报告中要求披露的信息,并有效确保ASML要求披露的信息被积累并酌情传达给ASML的管理层,包括ASML的首席执行官和CFO,以便及时做出关于要求披露的决定。
管理层关于财务报告内部控制的报告
ASML的管理层负责建立和维护对财务报告的充分内部控制,如《交易法》第13a-15(F)条所定义。在ASML首席执行官和首席财务官的监督下,ASML管理层根据特雷德韦委员会赞助组织委员会发布的《内部控制-综合框架》(2013)框架,对截至2021年12月31日ASML财务报告内部控制的有效性进行了评估。根据这一评估,管理层得出结论,ASML对财务报告的内部控制截至2021年12月31日有效,为财务报告的可靠性和根据美国公认会计准则编制外部财务报表提供合理保证。
独立注册会计师事务所毕马威会计师事务所已审核本年报所载财务报表,并已就ASML对财务报告的内部控制的有效性进行审核并出具报告(包括本报告)。
财务报告内部控制的变化
截至2021年12月31日止年度,我们对财务报告的内部控制并无重大影响或合理地可能对我们的财务报告内部控制产生重大影响的变化。
财务报告内部控制中披露控制和程序的固有局限性
应当指出的是,任何管制制度,无论其设计和运作如何完善,都只能提供合理的、而不是绝对的保证,确保该制度的目标能够实现。此外,任何控制系统的设计在一定程度上都是基于对未来事件可能性的某些假设。
附录-财务日历和投资者关系
财务日历
2022年4月20日
公布2022年第一季度业绩
2022年4月29日
股东周年大会
2022年7月20日
公布2022年第二季度业绩
2022年10月19日
2022年第三季度业绩公告
财政年度
ASML财年于2022年12月31日结束
投资者关系
ASML投资者关系部向投资者和金融分析师提供有关公司及其商业机会的信息。我们的年度报告、季度发布和其他信息也可在我们的网站上查阅。
附录- ASML联系信息
公司总部
De Run 6501
小行星5504
荷兰
邮寄地址
P.O.盒324
5500阿赫维尔德霍温
荷兰
投资者关系
电话:+31402683938
电子邮件:Investor.Relationship@asml.com
有关更多联系信息,请访问www.asml.com。
附录-参考表20-F
| | | | | | | | | | | |
项目 | 表格20-F标题 | 本文档中的位置 | 页面 |
第一部分 | | | |
1 | 董事、高级管理人员和顾问的身份 | 不适用 | |
2 | 优惠统计数据和预期时间表 | 不适用 | |
3 | 关键信息 | |
| B. 资本化和负债 | 不适用 | |
| C. 提供和使用收益的原因 | 不适用 | |
| D. 危险因素 | 我们2021年的业绩-治理-风险因素 | 114 |
4 | 关于公司的信息 | |
| a. 公司历史与发展 | 封面页 | 1 |
| | 我们是谁以及我们做什么-我们的公司 | 10 |
| | 附录--财产、厂房和设备 | 249 |
| | 附录-展示的文件 | 259 |
| | 附录- ASML联系信息 | 262 |
| B. 业务概览 | 我们是谁以及我们做什么 | 9 |
| | 我们在半导体价值链中的地位 | 28 |
| | 附注2与客户签订合同的收入 | 180 |
| | 附注3分部披露 | 184 |
| | 附录-政府规例 | 255 |
| C.组织结构 | 2021年业绩-公司治理-财务报告与审计-公司信息 | 109 |
| D. 房及设备 | 附注13财产、厂房和设备,净额 | 192 |
| | 附录--财产、厂房和设备 | 249 |
4A | 未解决的员工意见 | 不适用 | |
5 | 经营与财务回顾与展望 | |
| a. 经营业绩 | 我们2021年的业绩-财务-财务业绩 | 45 |
| B. 流动资金及资本资源 | 我们2021年的业绩-财务-财务业绩 | 45 |
| | 融资政策 | 133 |
| | 合并现金流量表 | 178 |
| | 附注4现金及现金等价物及短期投资 | 186 |
| | 说明16.长期债务和利息及其他费用 | 196 |
| | 附注17承付款和或有事项 | 197 |
| | 附注25财务风险管理 | 212 |
| C.研发、专利和许可证等。 | CTO的消息 | 15 |
| | 我们如何创新 | 17 |
| | 财务执行情况—研究和开发费用 | 47 |
| | 创新生态系统 | 82 |
| | 责任企业—知识产权保护 | 129 |
| D. 趋势信息 | 财务表现-长期增长机会 | 50 |
| E.关键会计估计数 | 合并财务报表注释-注释1一般信息/一般会计政策摘要-估计的使用 | 179 |
6 | 董事、高级管理人员和员工 | |
| a. 董事及高级管理层 | 公司治理 | 93 |
| B. 补偿 | 薪酬报告 | 157 |
| C. 董事会常规 | 公司治理
| 93 |
| | 公司治理-监事会-监事会委员会 | 97 |
| D.员工 | 社交-我们的员工 | 67 |
| E.股份所有权 | 公司治理-股本-主要股东 | 107 |
| | 薪酬报告-2021年管理委员会薪酬 | 162 |
| | 附注20基于股份的薪酬 | 200 |
7 | 大股东和关联方交易 | |
| A.主要股东 | 公司治理-股本-主要股东 | 107 |
| B. 关联交易 | 附注26关联方和可变利益实体 | 218 |
| C.专家和律师的利益 | 不适用 | |
| | | | | | | | | | | |
项目 | 表格20-F标题 | 本文档中的位置 | 页面 |
8 | 财务信息 | |
| A.合并报表和其他财务信息 | 合并财务报表 | 171 |
| B.重大变化 | 财务表现-长期增长机会 | 50 |
| | 合并财务报表附注 | 179 |
9 | 报价和挂牌 | |
| A.优惠和上市详情 | 附录-优惠和上市详情 | 256 |
| B.配送计划 | 不适用 | |
| C.市场 | 附录-优惠和上市详情 | 256 |
| D.出售股东 | 不适用 | |
| E.稀释 | 不适用 | |
| F.发行债券的费用 | 不适用 | |
10 | 附加信息 | |
| A.股本 | 不适用 | |
| B.组织备忘录和章程 | 公司治理-股本 | 93 |
| C. 重大合约 | 附录--材料合同 | 257 |
| D.外汇管制 | 附录-外汇管制 | 258 |
| e. 税务 | 附录-荷兰税收/美国税收 | 250 |
| F.股息和支付代理人 | 不适用 | |
| G.专家的发言 | 不适用 | |
| H.展出的文件 | 附录-展示的文件 | 259 |
| I. 辅助信息 | 不适用 | |
11 | 关于市场风险的定量和定性披露 | 说明16.长期债务和利息及其他费用 | 196 |
| | 附注25财务风险管理 | 212 |
12 | 除股权证券外的其他证券说明 | 附录-优惠和上市详情 | 256 |
第II部 | | | |
13 | 违约、拖欠股息和拖欠股息 | 无 | |
14 | 对担保持有人权利和收益使用的实质性修改 | 无 | |
15 | 控制和程序 | 附录-控制和程序 | 260 |
16A | 审计委员会财务专家 | 监事会报告-审计委员会 | 139 |
16B | 道德守则 | 负责任的商业--商业道德和行为准则 | 123 |
16C | 首席会计师费用及服务 | 附录-首席会计师费用和服务 | 248 |
16D | 对审计委员会的上市标准的豁免 | 不适用 | |
16E | 发行人及关联购买人购买股权证券 | 附注22股东权益 | 209 |
16F | 更改注册人的认证会计师 | 无 | |
16G | 公司治理 | 公司治理-财务报告和审计-美国上市要求 | 109 |
16H | 煤矿安全信息披露 | 不适用 | |
16I | 关于妨碍检查的外国司法管辖区的披露 | 不适用 | |
第三部分 | | | |
17 | 财务报表 | 不适用 | |
18 | 财务报表 | 合并财务报表 | 171 |
19 | 展品: | 展品索引 | 273 |
本文件包含ASML Holding NV截至2021年12月31日的年度20-F表格年度报告所需的信息。请参阅本文“参考表- 20-F”下包含的表格20-F交叉引用表。只有表格20-F交叉引用表和本段、本交叉引用表本身、题为有关前瞻性陈述的特别注释的部分中引用的本文件中的信息才应被视为已出于任何目的提交给美国证券交易委员会。本文件中未在表格20-F交叉引用表中引用的任何额外信息或附件本身,不应被视为通过引用而纳入,不应成为表格20-F的2021年年度报告的一部分,并提供给美国证券交易委员会仅供参考。
| | | | | | | | |
名字 | | 描述 |
0-9 | | |
3TG | | 锡、钽、钨和金 |
A | | |
阿达斯 | | 先进的驾驶员辅助系统 |
原子力显微镜 | | 荷兰金融市场管理局(Autoriteit Financiële Markten) |
年度股东大会 | | 股东周年大会 |
AI | | 人工智能 |
AIoT | | 物联网的人工智能 |
年报 | | 20-F表格年度报告 |
ARCNL | | 纳米光刻高级研究中心 |
ARF | | 氟化氩 |
阿尔菲 | | 氟化氩浸泡 |
ASC | | 会计准则编撰 |
ASML | | ASML Holding NV和/或其任何子公司和/或对联营公司的任何投资 |
ASML基金会 | | 一家与ASML关系密切的独立慈善机构,支持ASML运营地区4-18岁弱势群体的教育计划。 |
ASML优先股基金会 | | Aandelen ASML首选项Stichting |
| | |
B | | |
BAPA | | 双边预定价协议 |
节拍 | | 基数侵蚀反滥用税 |
| | |
BOM表 | | 管理委员会 |
BREEAM | | 建筑研究机构环评方法 |
布里昂 | | 布里昂技术公司 |
C | | |
年复合增长率 | | 复合年增长率 |
佳能 | | 佳能Kabushiki Kaisha |
| | |
资本支出 | | 不动产、厂房和设备的增加加上无形资产的增加加上使用权资产的增加(运营和财务)。 |
资本资源 | | IIRC定义的资本资源包括:金融、制造业、智力、人力、社会和自然资源。 |
卡尔·蔡司SMT | | 卡尔蔡司SMT有限公司 |
CCIP | | 客户共同投资计划 |
CCPA | | 《加州消费者隐私法案》(美国) |
CDP | | 碳披露项目 |
首席执行官 | | 首席执行官 |
首席财务官 | | 首席财务官 |
CGU | | 现金产生单位 |
CGU ASML | | ASML不包括CGU Cymer光源 |
洁净室 | | 晶圆厂的中心部分,在这里处理晶圆厂,环境受到细致控制,以消除灰尘和其他污染物。 |
CMO | | 首席营销官 |
公司2 | | 二氧化碳 |
| | |
代码 | | 《荷兰公司治理准则》 |
行为规范 | | 道德和行为准则 |
公司 | | ASML Holding N.V. |
计算光刻 | | 使用强大的算法和制造过程的计算机建模来优化掩模图案,通过有意地变形掩模图案以补偿光刻和图案化过程中发生的物理和化学效应。 |
首席运营官 | | 首席运营官 |
新冠肺炎 | | 2019年冠状病毒病 |
| | |
CRC | | ASML的企业风险委员会 |
CRMC | | 资本研究与管理公司 |
CTO | | 首席技术官 |
Cmer | | Cmer Inc.、Cmer LLC及其子公司 |
D | | |
D&E | | 开发与工程 |
| | |
德勤 | | 德勤会计师事务所 |
D&I | | 多样性和包容性 |
DJSI | | 道琼斯可持续发展指数 |
| | | | | | | | |
名字 | | 描述 |
DRAM | | 动态随机存取存储器 |
DUV | | 深紫外 |
E | | |
| | |
EHS | | 环境、健康和安全 |
EHS能力中心 | | ASML内的一个小组,负责定义IHS标准、收集最佳实践并帮助经理实施这些标准 |
欧洲、中东和非洲地区 | | 欧洲、中东和非洲 |
易办事 | | 每股收益 |
ERM | | 企业风险管理 |
eScan | | ASML的电子束芯片检测系统系列,用于有针对性的在线缺陷检测 |
ESG分数 | | 信用评级决策中使用的环境、社会和治理(ESG)因素的综合评分系统 |
ETR | | 实际税率 |
欧盟 | | 欧盟 |
欧盟-国际财务报告准则 | | 欧盟采用的国际财务报告准则 |
Euribor | | 欧元银行同业拆借利率 |
欧元债券 | | 以欧元计价的债券 |
Euroclear荷兰 | | 荷兰中央证券保管所(荷兰中央研究院Giraal Effectenverkeer B.V.) |
阿姆斯特丹泛欧交易所 | | 泛欧交易所阿姆斯特丹,N.V. |
EUV光刻 | | 一种使用波长为13.5纳米的极紫外光的光刻技术。这是目前光刻的前沿,使能16纳米及以上的技术节点。它仅用于具有最小要素的最关键的层。 |
《交易所法案》 | | 1934年美国证券交易法 |
EXCOM | | 执行委员会 |
F | | |
法布 | | 半导体加工厂 |
胖的 | | 工厂验收测试 |
FDII | | 外国衍生的无形收入 |
功能 | | 构成微芯片某一给定层的图案的元件。 |
闪光灯 | | 一种用于存储和传输信息的非易失性存储器。 |
铸造厂 | | 逻辑芯片的代工制造商 |
全职员工 | | 全职同等资历 |
FTSE4好 | | 富时集团于2001年推出的一系列道德投资股市指数 |
G | | |
公认会计原则 | | 公认会计原则 |
GDPR | | 一般数据保护法规 |
GeSI | | 全球电子可持续发展倡议 |
温室气体 | | 温室气体 |
GILTI | | 全球无形低税收入 |
GPU | | 图形处理单元 |
格雷 | | 全球报告倡议 |
GRI标准 | | GRI可持续发展报告标准 |
H | | |
H2 | | 氢 |
硬盘 | | 硬盘驱动 |
高na | | 高数值口径-特别是下一代UVA平板印刷平台(UVA 0.55 NA) |
人机界面 | | ASML电子束(e-beam)芯片检测和计量系统系列的品牌名称 |
整体光刻 | | 能够优化整个微芯片制造过程,并通过将平板印刷系统与计算建模和芯片计量解决方案(实时分析和控制制造过程)集成,实现芯片技术的经济扩展 |
高温超导 | | 高科技系统中心 |
I | | |
国际会计准则 | | 国际会计准则 |
集成电路 | | 集成电路 |
IDM | | 集成设备制造商 |
IIRC | | 国际综合报告理事会 |
I型线 | | 波长为365纳米的光,由水银蒸汽灯产生,用于某些光刻系统 |
国际劳工组织 | | 国际劳工组织 |
成象 | | 利用光将图案转移到光致抗蚀剂上的能力 |
IMEC | | 大学间微型Elektronica中心 |
| | | | | | | | |
名字 | | 描述 |
浸没式光刻 | | 一种平板印刷技术,在镜头和芯片之间使用一池超纯净水来增加镜头的数值口径(收集和聚焦光的能力)。这提高了光刻系统的分辨率和焦深。 |
客户群管理 | | 净服务和现场选项销售 |
英特尔 | | 英特尔公司 |
物联网(IoT) | | 嵌入传感器、致动器、电子设备和软件的物理对象网络,允许对象收集和交换数据 |
知识产权 | | 知识产权 |
ISO | | 国际标准化组织 |
K | | |
KLA-天丝 | | KLA-天丝公司 |
关键绩效指标 | | 关键绩效指标 |
毕马威 | | 毕马威会计师事务所 |
Krf | | 氟化氪 |
千瓦时 | | 千瓦时 |
L | | |
LGBTQI+ | | 女同性恋、男同性恋、双性恋、跨性别者、酷儿和双性人 |
伦敦银行同业拆借利率 | | 伦敦银行间同业拆借利率 |
光刻 | | 平板印刷术(lithography)是微芯片制造中的工艺,使用光在硅芯片上图案化零件 |
逻辑 | | 微处理器、微控制器和GPU等集成设备。也指制造此类设备的公司 |
LTI | | 长期激励 |
M | | |
工商管理硕士 | | 工商管理硕士 |
记忆 | | 存储信息的微芯片,如NAND闪存和DRAM。也指制造此类芯片的公司。 |
Mm | | 毫米(千分之一米) |
MPS | | 成熟的产品和服务 |
MSCI明晟 | | 摩根士丹利资本国际 |
N | | |
北美 | | 数值孔径 |
NAND | | 一种二进制逻辑运算符,当接收到一个或没有输入时给出输出;“NOT AND”的组合 |
纳斯达克 | | 纳斯达克股市有限责任公司 |
非政府组织 | | 非政府组织 |
尼康 | | 尼康公司 |
NL | | 荷兰 |
NM | | 纳米(十亿分之一米) |
节点 | | 作为芯片制造行业为更小功能和更先进的微芯片路线图的跳板,描述和区分了几代半导体制造技术和用它们制造的芯片。“较小尺寸”的节点指的是更先进的技术。 |
非公认会计原则 | | 一家公司的历史或未来财务业绩、财务状况或现金流量,这些都不是按照最具可比性的公认会计原则计算或列报的。 |
NRE | | 非循环工程 |
NXE | | 用于EUV光刻的独创TWINSCAN系统平台 |
NXT | | 原始TWINSCAN系统平台的增强版,显著提高了覆盖率和生产率 |
O | | |
保监处 | | 其他综合收益 |
ODM | | 原创设计制造商 |
经济合作与发展组织 | | 经济合作与发展组织 |
代工 | | 原始设备制造商 |
一 | | ASML的Our New Enterprise计划旨在改善我们的业务流程和IT企业管理系统 |
覆盖层 | | 芯片结构的层间对准 |
P | | |
图案保真度 | | 对晶圆上所需图案复制程度的全面测量 |
图案保真度控制 | | 一种全面的方法来控制高批量生产先进微芯片的整个过程,旨在提高整体产量。它从生产设备和计算光刻工具中提取数据,并通过机器学习等技术进行分析,以提供实时反馈。 |
图案化 | | 在表面中创建图案的过程(构建微芯片) |
PGP | | 产品生成过程 |
| | | | | | | | |
名字 | | 描述 |
PME | | Bedrijfstakpensioenfonds Metalektro |
优先股基金会 | | Aandelen ASML首选项Stichting |
优先股期权 | | 在我们的资本中购买累积优先股的选择权 |
Q | | |
QLTCS | | 质量、物流、技术、成本和可持续性 |
R | | |
研发 | | 研发 |
澳洲央行 | | 负责任的商业联盟 |
RC | | ASML的薪酬委员会 |
到达 | | 化学品的注册、评估、授权和限制 |
可收回的数额 | | 资产公允价值减销售成本与其使用价值的较大者 |
薪酬政策 | | 适用于ASML Holding N.V.管理层之薪酬政策。 |
网纹 | | 包含每次曝光要转移到芯片上的特征图案的板 |
ROAIC | | 平均投资资本回报率 |
RoHS | | 对有害物质的限制 |
S | | |
三星 | | 三星电子公司 |
SAQ | | 自我评估问卷 |
萨班斯-奥克斯利法案 | | 2002年的《萨班斯-奥克斯利法案》 |
坐着 | | 现场验收试验 |
某人 | | ASML监事会 |
范围1 CO2排放 | | 组织拥有或控制的资源直接排放二氧化碳 |
范围2 CO2排放 | | 由于能源和组织消耗而间接二氧化碳排放 |
范围3 CO2排放 | | 组织价值链中发生的所有其他间接二氧化碳排放 |
SDG | | 联合国可持续发展目标 |
美国证券交易委员会 | | 美国证券交易委员会 |
半个 | | 半导体设备和材料国际 |
半S_2 | | 半导体制造设备的安全指南、环境、健康和安全指南,半导体制造设备的一套基于性能的EHS考虑因素 |
半S23 | | 半导体制造设备使用的节能、实用和材料指南,收集、分析和报告耗能半导体制造设备实用数据的指南 |
SG&A | | 销售、一般和行政 |
收缩 | | 为更先进的芯片开发更小的晶体管的过程 |
SoC | | 片上系统 |
SPE股东 | | 由三家银行组成的辛迪加,旨在租赁ASML位于Veldhoven的总部 |
S与SC | | 采购和供应链 |
SSD | | 固态硬盘 |
| | |
SSRA | | 安全风险评估 |
茎 | | 科学、技术、工程和数学 |
STI | | 短期激励 |
SWOT | | 优势、劣势、机会和威胁 |
T | | |
TC | | ASML技术委员会 |
TCFD | | 与气候有关的财务披露工作队 |
TCJA | | 减税和就业法案 |
贸发局 | | 总直接薪酬 |
技术能力 | | 技术专长在我们员工中的能力和传播,以及它们在我们流程和运营中的嵌入程度 |
吞吐量 | | 系统每小时可处理的晶片数量 |
TJ | | 万亿焦耳 |
晶体管 | | 一种半导体器件,是微芯片的基本组成部分 |
TSMC | | 台积电有限公司 |
TSR | | 股东总回报 |
双人扫描 | | ASML独特的平板印刷系统平台,具有两个完整的芯片台,可以在对另一个芯片进行绘图的同时对另一个进行曝光,从而实现更高的准确性和吞吐量。 |
U | | |
UNGP | | 联合国指导原则 |
我们 | | 美国 |
| | | | | | | | |
名字 | | 描述 |
美国公认会计原则 | | 美国公认会计原则 |
美国国际贸易中心 | | 美国国际贸易委员会 |
V | | |
增值税 | | 增值税 |
VIE | | 可变利息实体 |
VLSI | | 超大规模集成电路研究公司 |
VNO-NCW | | 荷兰工业和雇主联合会 |
副总裁 | | 美国副总统 |
W | | |
WAccess | | 加权平均资金成本 |
晶片检验 | | 定位和分析晶圆片上单个芯片缺陷的过程 |
晶片量测 | | 测量晶圆片上图形质量的过程 |
波长 | | 波的两个波峰之间的距离,如光。光刻系统中使用的光波长越短,系统可以分辨的特征越小。 |
网站 | | Www.asml.com |
劳资关系局 | | ASML荷兰B.V. |
Y | | |
YeldStar | | ASML的基于折射的芯片计量平台 |
Z | | |
蔡司 | | 卡尔蔡司股份公司 |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
ASML Holding N.V.特此证明,其符合表格20—F提交的所有要求,并已正式促使并授权下列签署人代表其签署表格20—F的年度报告。
ASML Holding N.V.(注册人)
/S/彼得·T·F·M·温宁克
姓名:彼得·T·F·M·温宁克
职务:总裁,首席执行官兼管理委员会成员
日期:2022年2月9日
/S/罗杰J.M.达森
姓名:罗杰·J·M·达森
职务:常务副董事长总裁,财务总监、管理委员会成员
日期:2022年2月9日
展品索引
| | | | | |
展品编号: | 描述 |
1 | ASML Holding N.V.公司章程(英文翻译)(参考2013年2月8日向SEC提交的注册人注册声明第13号修正案合并) |
2.1 | 根据《交易法》第12条登记的证券说明2 |
4.1 | ASML Holding N.V.与其管理委员会成员之间的赔偿协议格式(参考注册人截至2003年12月31日的年度表格20—F年度报告) |
4.2 | ASML Holding N.V.及其监事会成员之间的赔偿协议格式(参考注册人截至2003年12月31日的年度表格20—F) |
4.3 | 管理委员会成员的雇佣协议表格(参照注册人截至2003年12月31日的财政年度表格20—F而纳入) |
4.4 | 尼康-ASML专利交叉许可协议,日期为2004年12月10日,由ASML Holding N.V.和尼康公司(通过参考注册人截至2014年12月31日的财政年度Form 20-F年度报告合并而成)1 |
4.5 | 2004年12月10日,卡尔·蔡司SMT股份公司和ASML Holding N.V.签订的《ASML/Carl Zeiss再许可协议》(合并时参考注册人截至2004年12月31日的财政年度的Form 20-F年度报告)1 |
4.6 | 管理委员会成员的ASML绩效股票计划(版本1)(参考2007年7月5日提交给SEC的S—8表格注册人注册声明(文件编号333—144356)) |
4.7 | 管理委员会成员的ASML绩效股票期权计划(第2版)(通过参考2007年7月5日提交给委员会的S—8表格注册人的注册声明(文件编号:333—144356)合并) |
4.8 | ASML管理委员会伞形股份计划(参考注册人于2015年4月13日向SEC提交的表格S—8注册声明(文件编号:333—203390)) |
4.9 | Carl Zeiss AG、ASML Holding N.V.和Carl Zeiss SMT Holding Management GmbH于2017年6月29日签署的合伙企业和合资企业协议(通过参考注册人截至2017年12月31日财年的表格20—F年度报告合并) |
4.10 | 和解和交叉许可协议,日期为2019年2月18日,尼康公司、ASML Holding N.V.和Carl Zeiss SMT GmbH之间的和解和交叉许可协议,以及关于第3(B)2.2.1、3.8、6.3.3、6.6、10.6、10.8、10.14和10.15节的Carl Zeiss AG(通过参考注册人截至2019年12月31日的财政年度Form 20-F年度报告注册成立)3 |
4.11 | ASML-SMT商业协议,日期为2021年7月21日,ASML荷兰公司与Carl Zeiss SMT GmbH 2,3 |
8.1 | 主要子公司名单2 |
12.1 | 根据1934年《证券交易法》第13a-14(A)条认证首席执行官和首席财务官2 |
13.1 | 根据1934年《证券交易法》第13a-14(B)条认证首席执行官和首席财务官2 |
15.1 | 独立注册会计师事务所的同意2 |
101.INS | xbrl实例文档 2 |
101.SCH | XBRL分类扩展架构文档2 |
101.CAL | XBRL分类扩展计算链接库文档2 |
101.DEF | XBRL分类扩展定义Linkbase文档 2 |
101.LAB | XBRL分类扩展标签Linkbase文档2 |
101.PRE | XBRL分类扩展演示文稿Linkbase文档 2 |
104 | 封面页交互式数据文件(格式为内联XBRL,见附件101) 2 |
1.根据单独向美国证券交易委员会提出的保密处理请求而遗漏的某些信息。
2.兹提交美国证券交易委员会。
3.该展览的部分内容被省略,因为它们(i)不重要,并且(ii)如果公开披露,将对竞争有害
ASML是6项债务工具(优先票据)的一方,其中每项单独债务工具下的证券总额不超过ASML及其子公司综合总资产的10%。根据表格20-F证据说明第2(b)(i)段,ASML同意应要求向SEC提供此类文书的副本。已注册的高级票据类别包括:
•0.625% ASML持有卢森堡证券交易所2022年到期的NV固定利率优先票据(XS 1405774990);
•卢森堡证券交易所2023年到期的3.375%阿斯麦固息优先债券(XS0972530561);
•卢森堡证券交易所2026年到期的1.375%阿斯麦固息优先债券(XS1405780963);
•卢森堡证券交易所2027年到期的1.625%阿斯麦固息优先债券(XS1527556192);
•卢森堡证券交易所2029年到期的0.625%阿斯麦固息优先债券(XS2166219720);
•0.25% ASML持有卢森堡证券交易所2030年到期的NV固定利率优先票据(XS 2010032378)。