ASML—2022131http://fasb.org/us-gaap/2022#AccountsPayableAndAccruedLiabilitiesCurrentAndNoncurrenthttp://fasb.org/us-gaap/2022#AccountsPayableAndAccruedLiabilitiesCurrentAndNoncurrenthttp://fasb.org/us-gaap/2022#PrepaidExpenseAndOtherAssetsNoncurrentHttp://fasb.org/us-gaap/2022#PrepaidExpenseAndOtherAssetsCurrenthttp://fasb.org/us-gaap/2022#PrepaidExpenseAndOtherAssetsCurrent http://fasb.org/us-gaap/2022#PrepaidExpenseAndOtherAssetsCurrent http://fasb.org/us-gaap/2022#PrepaidExpenseAndOtherAssetsCurrent http://fasb.org/us-gaap/2022#PrepaidExpenseAndOtherAssetsNoncurrenthttp://fasb.org/us-gaap/2022#PrepaidExpenseAndOtherAssetsCurrent Http://fasb.org/us-gaap/2022#OtherLiabilitiesCurrent http://fasb.org/us-gaap/2022#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2022#OtherLiabilitiesCurrent http://fasb.org/us-gaap/2022#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2022#OtherLiabilitiesCurrent http://fasb.org/us-gaap/2022#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2022#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2022#OtherLiabilitiesCurrentHttp://fasb.org/us-gaap/2022#OtherLiabilitiesNoncurrentHttp://fasb.org/us-gaap/2022#OtherLiabilitiesNoncurrent00009379662022财年假象☒假象假象假象00009379662022-01-012022-12-310000937966Dei:商业联系人成员2022-01-012022-12-3100009379662022-12-31Xbrli:共享0000937966美国-公认会计准则:产品成员2020-01-012020-12-31ISO4217:欧元0000937966美国-公认会计准则:产品成员2021-01-012021-12-310000937966美国-公认会计准则:产品成员2022-01-012022-12-310000937966ASML:ServiceAndFieldOptions成员2020-01-012020-12-310000937966ASML:ServiceAndFieldOptions成员2021-01-012021-12-310000937966ASML:ServiceAndFieldOptions成员2022-01-012022-12-3100009379662020-01-012020-12-3100009379662021-01-012021-12-31ISO4217:欧元Xbrli:共享00009379662021-12-310000937966美国-美国公认会计准则:普通股成员2022-12-310000937966美国-美国公认会计准则:普通股成员2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2022-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-12-310000937966美国-美国公认会计准则:普通股成员2019-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2019-12-310000937966美国-公认会计准则:财政部股票成员2019-12-310000937966美国-公认会计准则:保留预付款成员2019-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2019-12-3100009379662019-12-310000937966美国-公认会计准则:保留预付款成员2020-01-012020-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2020-01-012020-12-310000937966美国-美国公认会计准则:普通股成员2020-01-012020-12-310000937966美国-公认会计准则:财政部股票成员2020-01-012020-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2020-01-012020-12-310000937966美国-美国公认会计准则:普通股成员2020-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2020-12-310000937966美国-公认会计准则:财政部股票成员2020-12-310000937966美国-公认会计准则:保留预付款成员2020-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2020-12-3100009379662020-12-310000937966美国-公认会计准则:保留预付款成员2021-01-012021-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2021-01-012021-12-310000937966美国-美国公认会计准则:普通股成员2021-01-012021-12-310000937966美国-公认会计准则:财政部股票成员2021-01-012021-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2021-01-012021-12-310000937966美国-美国公认会计准则:普通股成员2021-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2021-12-310000937966美国-公认会计准则:财政部股票成员2021-12-310000937966美国-公认会计准则:保留预付款成员2021-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2021-12-310000937966美国-公认会计准则:保留预付款成员2022-01-012022-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2022-01-012022-12-310000937966美国-美国公认会计准则:普通股成员2022-01-012022-12-310000937966美国-公认会计准则:财政部股票成员2022-01-012022-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2022-01-012022-12-310000937966美国-美国公认会计准则:普通股成员2022-12-310000937966US-GAAP:AdditionalPaidInCapitalMembers2022-12-310000937966美国-公认会计准则:财政部股票成员2022-12-310000937966美国-公认会计准则:保留预付款成员2022-12-310000937966Us-gaap:AccumulatedOtherComprehensiveIncomeMember2022-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberASML:CarlZeissSMTHoldingGmbHCo.KGMember2022-12-31Xbrli:纯0000937966Asml:FundingprovidedfortoolingequitymethodinvestmentMember2022-01-012022-12-310000937966Asml:FundingprovidedfortoolingequitymethodinvestmentMember2021-01-012021-12-310000937966Asml:FundingprovidedfortoolingequitymethodinvestmentMember2020-01-012020-12-310000937966SRT:最小成员数2022-12-31ASML:员工asml:numberOfNationalsasml:大陆0000937966asml:EuvMember2022-01-012022-12-31ASML:单位0000937966ASML:ArfiMember2022-01-012022-12-310000937966ASML:ArfDryMember2022-01-012022-12-310000937966ASML:KrfMember2022-01-012022-12-310000937966ASML:IlineMember2022-01-012022-12-310000937966asml:MetrologyandinspectionMember2022-01-012022-12-310000937966asml:EuvMember2021-01-012021-12-310000937966ASML:ArfiMember2021-01-012021-12-310000937966ASML:ArfDryMember2021-01-012021-12-310000937966ASML:KrfMember2021-01-012021-12-310000937966ASML:IlineMember2021-01-012021-12-310000937966asml:MetrologyandinspectionMember2021-01-012021-12-310000937966asml:EuvMember2020-01-012020-12-310000937966ASML:ArfiMember2020-01-012020-12-310000937966ASML:ArfDryMember2020-01-012020-12-310000937966ASML:KrfMember2020-01-012020-12-310000937966ASML:IlineMember2020-01-012020-12-310000937966asml:MetrologyandinspectionMember2020-01-012020-12-310000937966asml:LogicMember美国-公认会计准则:产品成员2022-01-012022-12-310000937966asml:member美国-公认会计准则:产品成员2022-01-012022-12-310000937966asml:LogicMember美国-公认会计准则:产品成员2021-01-012021-12-310000937966asml:member美国-公认会计准则:产品成员2021-01-012021-12-310000937966asml:LogicMember美国-公认会计准则:产品成员2020-01-012020-12-310000937966asml:member美国-公认会计准则:产品成员2020-01-012020-12-3100009379662023-01-012022-12-3100009379662022-01-012021-12-31asml:段0000937966asml:NewSystemsMember美国-公认会计准则:产品成员2020-01-012020-12-310000937966asml:NewSystemsMember美国-公认会计准则:产品成员2021-01-012021-12-310000937966asml:NewSystemsMember美国-公认会计准则:产品成员2022-01-012022-12-310000937966ASML:使用的系统成员美国-公认会计准则:产品成员2020-01-012020-12-310000937966ASML:使用的系统成员美国-公认会计准则:产品成员2021-01-012021-12-310000937966ASML:使用的系统成员美国-公认会计准则:产品成员2022-01-012022-12-310000937966国家:JP2022-01-012022-12-310000937966国家:JP2022-12-310000937966国家:KR2022-01-012022-12-310000937966国家:KR2022-12-310000937966国家/地区:南加州2022-01-012022-12-310000937966国家/地区:南加州2022-12-310000937966国家:台湾2022-01-012022-12-310000937966国家:台湾2022-12-310000937966国家:CN2022-01-012022-12-310000937966国家:CN2022-12-310000937966ASML:RestofAsia成员2022-01-012022-12-310000937966ASML:RestofAsia成员2022-12-310000937966国家:内华达州2022-01-012022-12-310000937966国家:内华达州2022-12-310000937966asml:RestofEuropeandMiddleEastMember2022-01-012022-12-310000937966asml:RestofEuropeandMiddleEastMember2022-12-310000937966国家:美国2022-01-012022-12-310000937966国家:美国2022-12-310000937966国家:JP2021-01-012021-12-310000937966国家:JP2021-12-310000937966国家:KR2021-01-012021-12-310000937966国家:KR2021-12-310000937966国家/地区:南加州2021-01-012021-12-310000937966国家/地区:南加州2021-12-310000937966国家:台湾2021-01-012021-12-310000937966国家:台湾2021-12-310000937966国家:CN2021-01-012021-12-310000937966国家:CN2021-12-310000937966ASML:RestofAsia成员2021-01-012021-12-310000937966ASML:RestofAsia成员2021-12-310000937966国家:内华达州2021-01-012021-12-310000937966国家:内华达州2021-12-310000937966asml:RestofEuropeandMiddleEastMember2021-01-012021-12-310000937966asml:RestofEuropeandMiddleEastMember2021-12-310000937966国家:美国2021-01-012021-12-310000937966国家:美国2021-12-310000937966国家:JP2020-01-012020-12-310000937966国家:JP2020-12-310000937966国家:KR2020-01-012020-12-310000937966国家:KR2020-12-310000937966国家/地区:南加州2020-01-012020-12-310000937966国家/地区:南加州2020-12-310000937966国家:台湾2020-01-012020-12-310000937966国家:台湾2020-12-310000937966国家:CN2020-01-012020-12-310000937966国家:CN2020-12-310000937966ASML:RestofAsia成员2020-01-012020-12-310000937966ASML:RestofAsia成员2020-12-310000937966国家:内华达州2020-01-012020-12-310000937966国家:内华达州2020-12-310000937966asml:RestofEuropeandMiddleEastMember2020-01-012020-12-310000937966asml:RestofEuropeandMiddleEastMember2020-12-310000937966国家:美国2020-01-012020-12-310000937966国家:美国2020-12-310000937966美国-GAAP:SalesRevenueNetMembersUS-GAAP:客户集中度风险成员2022-12-31ASML:客户0000937966美国-GAAP:SalesRevenueNetMembersUS-GAAP:客户集中度风险成员2022-01-012022-12-310000937966美国-GAAP:SalesRevenueNetMembersASML:两个客户成员US-GAAP:客户集中度风险成员2022-01-012022-12-310000937966美国-GAAP:SalesRevenueNetMembersUS-GAAP:客户集中度风险成员2021-12-310000937966美国-GAAP:SalesRevenueNetMembersUS-GAAP:客户集中度风险成员2020-12-310000937966美国-GAAP:SalesRevenueNetMembersUS-GAAP:客户集中度风险成员2021-01-012021-12-310000937966美国-GAAP:SalesRevenueNetMembersasml:ThreeCustomersMemberUS-GAAP:客户集中度风险成员2021-01-012021-12-310000937966美国-GAAP:SalesRevenueNetMembersUS-GAAP:客户集中度风险成员2020-01-012020-12-310000937966美国-GAAP:SalesRevenueNetMembersasml:ThreeCustomersMemberUS-GAAP:客户集中度风险成员2020-01-012020-12-310000937966SRT:最小成员数2022-01-012022-12-310000937966SRT:最大成员数2022-01-012022-12-310000937966美国-公认会计准则:银行存款时间成员2021-12-310000937966美国-公认会计准则:银行存款时间成员2022-12-310000937966美国-GAAP:公允价值衡量递归成员2021-12-310000937966美国-GAAP:公允价值衡量递归成员2022-12-310000937966美国-GAAP:InterestBearingDepositsMembers2021-12-310000937966美国-GAAP:InterestBearingDepositsMembers2022-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2022-01-012022-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2017-06-292017-06-290000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberasml:SharofnetincomelosafteraccountingpolicyMember2022-01-012022-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberasml:SharofnetincomelosafteraccountingpolicyMember2021-01-012021-12-310000937966asml:基础差异摊销相关无形资产成员ASML:CarlZeissSMTHoldingGmbHCo.KGMember2022-01-012022-12-310000937966asml:基础差异摊销相关无形资产成员ASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-01-012021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberASML:公司间盈利消除成员2022-01-012022-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberASML:公司间盈利消除成员2021-01-012021-12-310000937966ASML:柏林GlasMember2020-10-300000937966ASML:柏林GlasMember2020-10-302020-10-300000937966Asml:MedicalApplicationsAndSwissOpticBusinessMember2021-11-300000937966Asml:MedicalApplicationsAndSwissOpticBusinessMember2022-01-012022-12-31ASML:报告单位0000937966ASML:RuAsmlMember2022-12-310000937966ASML:RuAsmlMember2021-12-310000937966ASML:RuClsMember2022-12-310000937966ASML:RuClsMember2021-12-310000937966asml:品牌成员2022-01-012022-12-310000937966美国-GAAP:Intelligence ectualPropertyMemberSRT:最小成员数2022-01-012022-12-310000937966美国-GAAP:Intelligence ectualPropertyMemberSRT:最大成员数2022-01-012022-12-310000937966US-GAAP:基于技术的无形资产成员SRT:最小成员数2022-01-012022-12-310000937966US-GAAP:基于技术的无形资产成员SRT:最大成员数2022-01-012022-12-310000937966US-GAAP:客户关系成员SRT:最小成员数2022-01-012022-12-310000937966US-GAAP:客户关系成员SRT:最大成员数2022-01-012022-12-310000937966美国公认会计准则:其他无形资产成员SRT:最小成员数2022-01-012022-12-310000937966美国公认会计准则:其他无形资产成员SRT:最大成员数2022-01-012022-12-310000937966asml:品牌成员2020-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2020-12-310000937966美国-GAAP:发达的技术权利成员2020-12-310000937966US-GAAP:客户关系成员2020-12-310000937966美国公认会计准则:其他无形资产成员2020-12-310000937966asml:品牌成员2021-01-012021-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2021-01-012021-12-310000937966美国-GAAP:发达的技术权利成员2021-01-012021-12-310000937966US-GAAP:客户关系成员2021-01-012021-12-310000937966美国公认会计准则:其他无形资产成员2021-01-012021-12-310000937966asml:品牌成员2021-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2021-12-310000937966美国-GAAP:发达的技术权利成员2021-12-310000937966US-GAAP:客户关系成员2021-12-310000937966美国公认会计准则:其他无形资产成员2021-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2022-01-012022-12-310000937966美国-GAAP:发达的技术权利成员2022-01-012022-12-310000937966US-GAAP:客户关系成员2022-01-012022-12-310000937966美国公认会计准则:其他无形资产成员2022-01-012022-12-310000937966asml:品牌成员2022-12-310000937966美国-GAAP:Intelligence ectualPropertyMember2022-12-310000937966美国-GAAP:发达的技术权利成员2022-12-310000937966US-GAAP:客户关系成员2022-12-310000937966美国公认会计准则:其他无形资产成员2022-12-310000937966asml:品牌成员asml:累积摊销成员2021-01-012021-12-310000937966美国-GAAP:Intelligence ectualPropertyMemberasml:累积摊销成员2021-01-012021-12-310000937966美国-GAAP:发达的技术权利成员asml:累积摊销成员2021-01-012021-12-310000937966US-GAAP:客户关系成员asml:累积摊销成员2021-01-012021-12-310000937966美国公认会计准则:其他无形资产成员asml:累积摊销成员2021-01-012021-12-310000937966asml:累积摊销成员2021-01-012021-12-310000937966asml:品牌成员asml:累积摊销成员2022-01-012022-12-310000937966美国-GAAP:Intelligence ectualPropertyMemberasml:累积摊销成员2022-01-012022-12-310000937966美国-GAAP:发达的技术权利成员asml:累积摊销成员2022-01-012022-12-310000937966US-GAAP:客户关系成员asml:累积摊销成员2022-01-012022-12-310000937966美国公认会计准则:其他无形资产成员asml:累积摊销成员2022-01-012022-12-310000937966asml:累积摊销成员2022-01-012022-12-310000937966美国-GAAP:销售成本成员2020-01-012020-12-310000937966美国-GAAP:销售成本成员2021-01-012021-12-310000937966美国-GAAP:销售成本成员2022-01-012022-12-310000937966美国-公认会计准则:研究和开发费用成员2020-01-012020-12-310000937966美国-公认会计准则:研究和开发费用成员2021-01-012021-12-310000937966美国-公认会计准则:研究和开发费用成员2022-01-012022-12-310000937966Us-gaap:SellingGeneralAndAdministrativeExpensesMember2020-01-012020-12-310000937966Us-gaap:SellingGeneralAndAdministrativeExpensesMember2021-01-012021-12-310000937966Us-gaap:SellingGeneralAndAdministrativeExpensesMember2022-01-012022-12-310000937966US-GAAP:构建和构建改进成员SRT:最小成员数2022-01-012022-12-310000937966US-GAAP:构建和构建改进成员SRT:最大成员数2022-01-012022-12-310000937966美国-GAAP:机器和设备成员SRT:最小成员数2022-01-012022-12-310000937966美国-GAAP:机器和设备成员SRT:最大成员数2022-01-012022-12-310000937966美国-公认会计准则:租赁改进成员SRT:最小成员数2022-01-012022-12-310000937966美国-公认会计准则:租赁改进成员SRT:最大成员数2022-01-012022-12-310000937966美国-GAAP:家具和固定设备成员SRT:最小成员数2022-01-012022-12-310000937966美国-GAAP:家具和固定设备成员SRT:最大成员数2022-01-012022-12-310000937966ASML:LandBuildingsAndConstructionsMember2020-12-310000937966美国-GAAP:机器和设备成员2020-12-310000937966美国-公认会计准则:租赁改进成员2020-12-310000937966美国-GAAP:其他机器和设备成员2020-12-310000937966ASML:LandBuildingsAndConstructionsMember2021-01-012021-12-310000937966美国-GAAP:机器和设备成员2021-01-012021-12-310000937966美国-公认会计准则:租赁改进成员2021-01-012021-12-310000937966美国-GAAP:其他机器和设备成员2021-01-012021-12-310000937966ASML:LandBuildingsAndConstructionsMember2021-12-310000937966美国-GAAP:机器和设备成员2021-12-310000937966美国-公认会计准则:租赁改进成员2021-12-310000937966美国-GAAP:其他机器和设备成员2021-12-310000937966ASML:LandBuildingsAndConstructionsMember2022-01-012022-12-310000937966美国-GAAP:机器和设备成员2022-01-012022-12-310000937966美国-公认会计准则:租赁改进成员2022-01-012022-12-310000937966美国-GAAP:其他机器和设备成员2022-01-012022-12-310000937966ASML:LandBuildingsAndConstructionsMember2022-12-310000937966美国-GAAP:机器和设备成员2022-12-310000937966美国-公认会计准则:租赁改进成员2022-12-310000937966美国-GAAP:其他机器和设备成员2022-12-310000937966ASML:属性成员2021-12-310000937966ASML:属性成员2022-12-310000937966ASML:CarsMember2021-12-310000937966ASML:CarsMember2022-12-310000937966美国-GAAP:设备成员2021-12-310000937966美国-GAAP:设备成员2022-12-310000937966ASML:仓库成员2021-12-310000937966ASML:仓库成员2022-12-310000937966ASML:其他成员2021-12-310000937966ASML:其他成员2022-12-310000937966ASML:属性成员2020-01-012020-12-310000937966ASML:属性成员2021-01-012021-12-310000937966ASML:属性成员2022-01-012022-12-310000937966ASML:CarsMember2020-01-012020-12-310000937966ASML:CarsMember2021-01-012021-12-310000937966ASML:CarsMember2022-01-012022-12-310000937966美国-GAAP:设备成员2020-01-012020-12-310000937966美国-GAAP:设备成员2021-01-012021-12-310000937966美国-GAAP:设备成员2022-01-012022-12-310000937966ASML:仓库成员2020-01-012020-12-310000937966ASML:仓库成员2021-01-012021-12-310000937966ASML:仓库成员2022-01-012022-12-310000937966ASML:其他成员2020-01-012020-12-310000937966ASML:其他成员2021-01-012021-12-310000937966ASML:其他成员2022-01-012022-12-310000937966Asml:ZeroPointSixTwoFivePercentSeniorNotesDueTwoThousandTwentyTwoMember2016-07-310000937966Asml:ZeroPointSixTwoFivePercentSeniorNotesDueTwoThousandTwentyTwoMember2021-12-310000937966Asml:ZeroPointSixTwoFivePercentSeniorNotesDueTwoThousandTwentyTwoMember2022-12-310000937966Asml:ThreePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentyThreeMember2013-09-300000937966Asml:ThreePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentyThreeMember2021-12-310000937966Asml:ThreePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentyThreeMember2022-12-310000937966Asml:OnePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentySixMember2016-07-310000937966Asml:OnePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentySixMember2021-12-310000937966Asml:OnePointThreeSevenFivePercentSeniorNotesDueTwoThousandTwentySixMember2022-12-310000937966Asml:OnePointSixTwoFivePercentSeniorNotesDueTwoThousandTwentySevenMember2016-11-300000937966Asml:OnePointSixTwoFivePercentSeniorNotesDueTwoThousandTwentySevenMember2021-12-310000937966Asml:OnePointSixTwoFivePercentSeniorNotesDueTwoThousandTwentySevenMember2022-12-310000937966ASML:老年通知日期2月25日203002502020-02-290000937966ASML:老年通知日期2月25日203002502021-12-310000937966ASML:老年通知日期2月25日203002502022-12-310000937966asml:SeniorNote20290625Member2020-05-310000937966asml:SeniorNote20290625Member2021-12-310000937966asml:SeniorNote20290625Member2022-12-310000937966asml:A2250SeniorNote2032Member2022-05-310000937966asml:A2250SeniorNote2032Member2021-12-310000937966asml:A2250SeniorNote2032Member2022-12-310000937966Asml:DebtAssumedInBerlinerGlasAcquisitionMember2021-12-310000937966Asml:DebtAssumedInBerlinerGlasAcquisitionMember2022-12-310000937966ASML:欧洲债券成员ASML:摊销成本欧元债券成员2021-12-310000937966ASML:欧洲债券成员ASML:摊销成本欧元债券成员2022-12-310000937966Asml:FairValueAdjustmentInterestRateSwapsMemberASML:欧洲债券成员2021-12-310000937966Asml:FairValueAdjustmentInterestRateSwapsMemberASML:欧洲债券成员2022-12-310000937966ASML:欧洲债券成员2021-12-310000937966ASML:欧洲债券成员2022-12-310000937966ASML:柏林GlasMember2022-12-31ASML:选项0000937966美国-公认会计准则:长期债务成员2022-12-310000937966ASML:运营租赁权限成员2022-12-310000937966ASML:购买权限成员2022-12-310000937966ASML:客户支持部门成员2020-12-310000937966ASML:客户支持部门成员2021-12-310000937966ASML:客户支持部门成员2022-12-310000937966ASML:制造和物流成员2020-12-310000937966ASML:制造和物流成员2021-12-310000937966ASML:制造和物流成员2022-12-310000937966ASML:Strategic SupplyManagement SectorMember2020-12-310000937966ASML:Strategic SupplyManagement SectorMember2021-12-310000937966ASML:Strategic SupplyManagement SectorMember2022-12-310000937966ASML:一般和管理部门成员2020-12-310000937966ASML:一般和管理部门成员2021-12-310000937966ASML:一般和管理部门成员2022-12-310000937966ASML:SalesSectorMember2020-12-310000937966ASML:SalesSectorMember2021-12-310000937966ASML:SalesSectorMember2022-12-310000937966ASML:研究和开发部门成员2020-12-310000937966ASML:研究和开发部门成员2021-12-310000937966ASML:研究和开发部门成员2022-12-310000937966ASML:临时编号FTEsMember2020-12-310000937966ASML:临时编号FTEsMember2021-12-310000937966ASML:临时编号FTEsMember2022-12-310000937966asml:NumberofPayrollesMember2020-12-310000937966asml:NumberofPayrollesMember2021-12-310000937966asml:NumberofPayrollesMember2022-12-310000937966asml:BoMMember2020-01-012020-12-310000937966asml:BoMMember2021-01-012021-12-310000937966asml:BoMMember2022-01-012022-12-310000937966ASML:FormerBoardOfManagementMember2020-01-012020-12-310000937966ASML:FormerBoardOfManagementMember2021-01-012021-12-310000937966ASML:FormerBoardOfManagementMember2022-01-012022-12-310000937966ASML:其他员工成员2020-01-012020-12-310000937966ASML:其他员工成员2021-01-012021-12-310000937966ASML:其他员工成员2022-01-012022-12-31ASML:平面0000937966ASML:荷兰多雇主工会成员2022-01-012022-12-31ASML:人ASML:公司Utr:费率0000937966ASML:荷兰多雇主工会成员2021-01-012021-12-310000937966ASML:荷兰多雇主工会成员2020-01-012020-12-310000937966ASML:荷兰多雇主工会成员2022-12-310000937966ASML:荷兰多雇主工会成员2021-12-310000937966ASML:TotalShareholderReturnMember2022-12-310000937966asml:ROAICMember2022-12-310000937966ASML:技术领导索引成员2022-12-310000937966ASML:可持续性成员2022-12-310000937966ASML:ASML成员2020-01-012020-12-310000937966ASML:ASML成员2021-01-012021-12-310000937966ASML:ASML成员2022-01-012022-12-310000937966交换:XPHL2020-01-012020-12-310000937966交换:XPHL2021-01-012021-12-310000937966ASML:MarketPracticeMember2022-01-012022-12-310000937966ASML:欧元区成员2020-01-012020-12-310000937966ASML:欧元区成员2021-01-012021-12-310000937966ASML:欧元区成员2022-01-012022-12-310000937966ASML:EURDominatedAwards成员2020-01-012020-12-310000937966ASML:EURDominatedAwards成员2021-01-012021-12-310000937966ASML:EURDominatedAwards成员2022-01-012022-12-310000937966ASML:USDenominedAwardsMember2020-01-012020-12-310000937966ASML:USDenominedAwardsMember2021-01-012021-12-310000937966ASML:USDenominedAwardsMember2022-01-012022-12-31ISO 4217:美元Xbrli:共享0000937966ASML:欧元条件奖励成员2021-12-310000937966ASML:美国计价条件奖励成员2021-12-310000937966ASML:欧元条件奖励成员2022-01-012022-12-310000937966ASML:美国计价条件奖励成员2022-01-012022-12-310000937966ASML:欧元条件奖励成员2022-12-310000937966ASML:美国计价条件奖励成员2022-12-310000937966ASML:EmployeeStockOptionPlanMember2022-01-012022-12-310000937966ASML:欧元计价选项警告成员2020-01-012020-12-310000937966ASML:欧元计价选项警告成员2021-01-012021-12-310000937966ASML:欧元计价选项警告成员2022-01-012022-12-310000937966asml:USmarticulatedOptionAwardsMember2020-01-012020-12-310000937966asml:USmarticulatedOptionAwardsMember2021-01-012021-12-310000937966asml:USmarticulatedOptionAwardsMember2022-01-012022-12-31ISO 4217:美元0000937966ASML:欧元计价选项警告成员2020-12-310000937966ASML:欧元计价选项警告成员2021-12-310000937966ASML:欧元计价选项警告成员2022-12-310000937966asml:USmarticulatedOptionAwardsMember2020-12-310000937966asml:USmarticulatedOptionAwardsMember2021-12-310000937966asml:USmarticulatedOptionAwardsMember2022-12-310000937966货币:欧元ASML:欧元计价选项警告成员2021-12-310000937966asml:USmarticulatedOptionAwardsMember货币:美元2021-12-310000937966货币:欧元ASML:欧元计价选项警告成员2022-01-012022-12-310000937966asml:USmarticulatedOptionAwardsMember货币:美元2022-01-012022-12-310000937966货币:欧元ASML:欧元计价选项警告成员2022-12-310000937966asml:USmarticulatedOptionAwardsMember货币:美元2022-12-310000937966ASML:PriceRangeThreeMemberASML:欧元计价选项警告成员2022-01-012022-12-310000937966ASML:PriceRangeThreeMemberASML:欧元计价选项警告成员2022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeThreeMember2022-01-012022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeThreeMember2022-12-310000937966ASML:PriceRangeFourMemberASML:欧元计价选项警告成员2022-01-012022-12-310000937966ASML:PriceRangeFourMemberASML:欧元计价选项警告成员2022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeFourMember2022-01-012022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeFourMember2022-12-310000937966ASML:PriceRangeFiveMemberASML:欧元计价选项警告成员2022-01-012022-12-310000937966ASML:PriceRangeFiveMemberASML:欧元计价选项警告成员2022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeFiveMember2022-01-012022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeFiveMember2022-12-310000937966ASML:PriceRangeSixMemberASML:欧元计价选项警告成员2022-01-012022-12-310000937966ASML:PriceRangeSixMemberASML:欧元计价选项警告成员2022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeSixMember2022-01-012022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeSixMember2022-12-310000937966ASML:PriceRangeSeven成员ASML:欧元计价选项警告成员2022-01-012022-12-310000937966ASML:PriceRangeSeven成员ASML:欧元计价选项警告成员2022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeSeven成员2022-01-012022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRangeSeven成员2022-12-310000937966ASML:PriceRange8成员ASML:欧元计价选项警告成员2022-01-012022-12-310000937966ASML:PriceRange8成员ASML:欧元计价选项警告成员2022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRange8成员2022-01-012022-12-310000937966asml:USmarticulatedOptionAwardsMemberASML:PriceRange8成员2022-12-310000937966美国-公认会计准则:员工斯托克成员2022-01-012022-12-310000937966ASML:递延的TaxAssets非当前成员2021-12-310000937966ASML:递延的TaxAssets非当前成员2022-12-310000937966ASML:延期纳税责任非当前成员2021-12-310000937966ASML:延期纳税责任非当前成员2022-12-310000937966ASML:递延的TaxAssets非当前成员2020-12-310000937966ASML:延期纳税责任非当前成员2020-12-310000937966ASML:无过期日期成员2022-12-310000937966ASML:具有过期日期的成员2022-12-310000937966ASML:具有过期日期的成员2022-12-310000937966us-gaap:累积库存成员2022-12-31asml:registeredHolderASML:存款方接收方00009379662021-04-292022-10-2900009379662021-11-012021-11-300000937966asml:ShareBuybackProgram 20222025成员SRT:情景预测成员2022-11-102025-12-310000937966asml:jujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujuj2020-01-222022-12-310000937966asml:ShareBuybackProgram 20212023成员2022-01-012022-12-310000937966asml:jujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujujuj2022-01-012022-12-3100009379662022-01-012022-01-3100009379662021-01-232022-01-3100009379662022-02-012022-02-2800009379662021-01-232022-02-2800009379662022-03-012022-03-3100009379662021-01-232022-03-3100009379662022-04-012022-04-3000009379662021-01-232022-04-3000009379662022-05-012022-05-3000009379662021-01-232022-05-3100009379662022-06-012022-06-3000009379662021-01-232022-06-3000009379662022-07-012022-07-3100009379662021-01-232022-07-3100009379662022-08-012022-08-3100009379662021-01-232022-08-3100009379662022-09-012022-09-3000009379662021-01-232022-09-3000009379662022-10-012022-10-3100009379662021-01-232022-10-3100009379662022-11-012022-11-3000009379662021-01-232022-11-3000009379662021-12-012022-12-2300009379662021-01-232022-12-310000937966asml:NetincomeMember货币:美元2021-12-310000937966美国-公认会计准则:股权成员货币:美元2021-12-310000937966asml:NetincomeMember货币:美元2022-12-310000937966美国-公认会计准则:股权成员货币:美元2022-12-310000937966asml:NetincomeMember货币:日元2021-12-310000937966美国-公认会计准则:股权成员货币:日元2021-12-310000937966asml:NetincomeMember货币:日元2022-12-310000937966美国-公认会计准则:股权成员货币:日元2022-12-310000937966币种:台币asml:NetincomeMember2021-12-310000937966币种:台币美国-公认会计准则:股权成员2021-12-310000937966币种:台币asml:NetincomeMember2022-12-310000937966币种:台币美国-公认会计准则:股权成员2022-12-310000937966币种:xxxasml:NetincomeMember2021-12-310000937966币种:xxx美国-公认会计准则:股权成员2021-12-310000937966币种:xxxasml:NetincomeMember2022-12-310000937966币种:xxx美国-公认会计准则:股权成员2022-12-310000937966asml:NetincomeMember2021-12-310000937966美国-公认会计准则:股权成员2021-12-310000937966asml:NetincomeMember2022-12-310000937966美国-公认会计准则:股权成员2022-12-310000937966美国-公认会计准则:外汇远期成员2022-12-31ISO4217:日元ISO4217:台币ISO4217:KRWISO4217:人民币0000937966美国-公认会计准则:外汇远期成员2021-12-310000937966美国-GAAP:销售成本成员2022-12-310000937966美国-GAAP:销售成本成员2021-12-310000937966美国-GAAP:销售成本成员2020-12-310000937966美国-美国公认会计准则:销售成员2022-12-310000937966美国-美国公认会计准则:销售成员2021-12-310000937966美国-美国公认会计准则:销售成员2020-12-310000937966US-GAAP:InterestRateSwapMember2022-12-310000937966US-GAAP:InterestRateSwapMember2021-12-310000937966US-GAAP:InterestRateSwapMember美国公认会计准则:公允价值对冲成员2021-12-310000937966US-GAAP:InterestRateSwapMember美国公认会计准则:公允价值对冲成员2022-12-310000937966美国公认会计准则:现金流量对冲成员美国-公认会计准则:外汇远期成员2021-12-310000937966美国公认会计准则:现金流量对冲成员美国-公认会计准则:外汇远期成员2022-12-310000937966ASML:OtherHedgesMember美国-公认会计准则:外汇远期成员2021-12-310000937966ASML:OtherHedgesMember美国-公认会计准则:外汇远期成员2022-12-31ASML:欧元债券0000937966ASML:四个优秀的欧洲债券成员2022-12-310000937966美国-公认会计准则:公允价值输入级别1成员美国-GAAP:公允价值衡量递归成员2022-12-310000937966美国-公认会计准则:公允价值输入级别2成员美国-GAAP:公允价值衡量递归成员2022-12-310000937966美国-GAAP:公允价值衡量递归成员美国-公认会计准则:公允价值投入级别3成员2022-12-310000937966美国-公认会计准则:公允价值输入级别1成员美国-GAAP:公允价值衡量递归成员2021-12-310000937966美国-公认会计准则:公允价值输入级别2成员美国-GAAP:公允价值衡量递归成员2021-12-310000937966美国-GAAP:公允价值衡量递归成员美国-公认会计准则:公允价值投入级别3成员2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMemberASML:CarlZeissSMTGmbHMembers2022-12-310000937966ASML:CarlZeissSMTGmbHMembersSRT:最大成员数2022-12-310000937966ASML:CarlZeissSMTGmbHMembers2022-01-012022-12-310000937966ASML:CarlZeissSMTGmbHMembersSRT:最小成员数2022-12-310000937966ASML:ZeissHighNAFundingCommittee Members2016-01-012020-12-310000937966asml:ResearchdevelopmentsupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2016-01-012020-12-310000937966asml:CapitalexpendituresupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2016-01-012020-12-31ASML:元素0000937966asml:ResearchdevelopmentsupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2022-01-012022-12-310000937966asml:ResearchdevelopmentsupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2021-01-012021-12-310000937966asml:ResearchdevelopmentsupportprovidedMemberASML:ZeissHighNAFundingCommittee Members2020-01-012020-12-310000937966ASML:ZeissHighNAFundingCommittee MembersASML:CarlZeissSMTGmbHMembers2021-09-290000937966ASML:ZeissHighNAFundingCommittee MembersASML:CarlZeissSMTGmbHMembers2022-09-300000937966ASML:ZeissHighNAFundingCommittee MembersASML:CarlZeissSMTGmbHMembers2021-01-012021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember美国公认会计准则:其他资产成员2021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember美国公认会计准则:其他资产成员2022-12-310000937966ASML:MaximumExposureToLossMemberASML:CarlZeissSMTHoldingGmbHCo.KGMember美国公认会计准则:其他资产成员2022-12-310000937966美国-GAAP:PropertyPlantAndEquipmentMembersASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-12-310000937966美国-GAAP:PropertyPlantAndEquipmentMembersASML:CarlZeissSMTHoldingGmbHCo.KGMember2022-12-310000937966美国-GAAP:PropertyPlantAndEquipmentMembersASML:MaximumExposureToLossMemberASML:CarlZeissSMTHoldingGmbHCo.KGMember2022-12-310000937966ASML:MaximumExposureToLossMemberASML:CarlZeissSMTHoldingGmbHCo.KGMember2022-12-310000937966ASML:MaximumExposureToLossMember2022-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2020-01-012020-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2021-01-012021-12-310000937966ASML:CarlZeissSMTHoldingGmbHCo.KGMember2022-01-012022-12-310000937966Asml:AnyDirectorOrOfficerOfAsmlOrAnyAssociateThereofMember2022-01-012022-12-310000937966Asml:AnyDirectorOrOfficerOfAsmlOrAnyAssociateThereofMember2022-12-310000937966Asml:AnyDirectorOrOfficerOfAsmlOrAnyAssociateThereofMember美国公认会计准则:次要事件成员2023-02-150000937966美国公认会计准则:次要事件成员2023-01-252023-01-250000937966美国公认会计准则:次要事件成员2023-02-15ASML:分红0000937966ASML:InterimDiviendMember美国公认会计准则:次要事件成员2023-02-150000937966美国公认会计准则:次要事件成员ASML:FinalDiviendMember2023-02-15 美国
美国证券交易委员会
华盛顿特区,20549
表格20-F
依据第13或15(D)条提交的周年报告
1934年《证券交易法》
截至本财政年度止12月31日, 2022
佣金文件编号001-33463
阿斯麦
(注册人的确切姓名载于其章程)
这个荷兰
(注册成立或组织的司法管辖权)
德润6501, 小行星5504Veldhoven,The 荷兰
(主要执行办公室地址)
斯基普·米勒
电话:+1 480235 0934电邮:skip. asml.com
2650 W Geronimo Place, 钱德勒, AZ85224, 美国
(Name、电话、电子邮件和/或公司联系人的工厂号码和地址)
根据该法第12(B)节登记或将登记的证券:
每个班级的标题 交易符号 注册的每个交易所的名称
普通股 ASML 纳斯达克股市有限责任公司
(每股面值0.09欧元)
根据该法第12(G)节登记或将登记的证券:
无
根据该法第15(D)节负有报告义务的证券:
无
注明发行人所属各类别的流通股数目
截至年度报告所涉期间结束时的资本或普通股。
394,589,411普通股
(每股面值0.09欧元)
如果注册人是证券法规则405中定义的知名经验丰富的发行人,请用复选标记表示。
是☒:没有☐
如果此报告是年度报告或过渡报告,请用复选标记表示注册人是否
不需要根据1934年《证券交易法》第13或15(D)节提交报告。
是的☐不是 ☒
用复选标记表示注册人是否:(1)是否已经提交了根据第13或15(D)节要求提交的所有报告
1934年《证券交易法》,在此之前的12个月内(或注册人
被要求提交此类报告),以及(2)在过去90天内一直受到此类备案要求的约束。
是☒:没有☐
用复选标记表示注册人是否已以电子方式提交
根据S-T规则第405条(本章第232.405节),在
在12个月之前(或注册人被要求提交此类档案的较短期限)。
是☒:没有☐
用复选标记表示注册者是大型加速文件服务器、加速文件服务器、非加速文件服务器还是新兴成长型公司。
参见《交易法》第12b—2条中对“大型加速申报人”、“加速申报人”和“新兴增长公司”的定义。
大型加速文件服务器☒:加速文件管理器☐非加速文件管理器☐新兴成长型公司☐
如果一家新兴成长型公司按照美国公认会计原则编制其财务报表,用勾号表示注册人是否已选择不使用延长的过渡期来遵守根据交易法第13(A)节提供的任何新的或修订的财务会计准则。☐
†“新的或修订的财务会计准则”是指财务会计准则委员会在2012年4月5日之后发布的对其会计准则编纂的任何更新。
用复选标记表示注册人是否提交了一份报告,证明其管理层根据《萨班斯-奥克斯利法案》(《美国联邦法典》第15编,第7262(B)节)第404(B)条对其财务报告的内部控制的有效性进行了评估,该评估是由编制或发布其审计报告的注册会计师事务所进行的。
是☒*没有☐
如果证券是根据该法第12(B)条登记的,应用复选标记表示登记人的财务报表是否反映了对以前发布的财务报表的错误更正。☐
用复选标记表示这些错误更正中是否有任何重述需要对注册人的任何执行人员在相关恢复期间根据第240.10D-1(B)条收到的基于激励的补偿进行恢复分析。☐
用复选标记表示注册人用来准备的会计基础
本文件中包括的财务报表:
美国公认会计原则☒发布的国际财务报告准则
国际会计准则理事会☐Other☐
如果在回答上一个问题时选中了“Other”(其他),请用复选标记表示
注册人选择遵循的财务报表项目。
项目17☐项目18☐
如果这是年度报告,请用复选标记表示注册人是否为
空壳公司(定义见《交易法》第12b-2条)
是,☐不是☒
获授权接收来自证券交易委员会的通知和通信的人的姓名和地址:
詹姆斯·A·麦克唐纳
Skadden,Arps,Slate,Meagher&Flom(UK)LLP
40 Bank Street,Canary Wharf London E14 5DS英格兰
| | | | | |
| |
| 更小的尺寸,更大的能力是芯片行业的既定趋势。 感谢我们的39,000名员工与供应商、客户和创新合作伙伴的共同努力,我们正在进一步推进这一目标。
每天,我们都在突破物理学的界限,缩小模式,以帮助塑造整个地球的生活、工作和娱乐的未来。我们牢固地嵌入全球创新生态系统中,实现突破性技术,通过促进智能生活和移动性、无障碍医疗保健、粮食安全和向可再生能源过渡,帮助人类应对挑战并抓住机遇。 |
| 创建小模式,实现大影响。 |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | |
| 解决 污染 | | 全球 安康 | | 食物 安全 | | 能量 过渡 | | 智能 移动性 | | 虚拟和增强现实 | | 可穿戴技术 |
| 参见第8页> | | 第22页 | | 第30页> | | 第40页> | | 第51页 | | 第69页 | | 第149页 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 目录 | 战略报告 | 治理 | 金融类股 | 3 |
| 目录 | | | | |
| | | | | | | | |
| | |
| | |
| | |
| | |
| 第5页CEO的留言> |
| | |
| | |
| 第20页与CTO的问答> |
| | |
| | |
| 第41页与CFO的问答> |
| | |
| | |
| 在线查看我们的亮点> |
| | | | | | | | | | | | | | | | | | | | | | | | | | |
战略报告 | | |
| | | | | | | | |
4 | | 前瞻性陈述 | | | | 环境 | | |
5 | | 来自首席执行官的信息 | | 76 | | 能源效率和气候行动 | | |
9 | | 我们公司 | | 85 | | 循环经济 | | |
20 | | 与首席技术官的问答 | | | | 社交 | | |
23 | | 市场 | | 97 | | 对所有人都有吸引力的工作场所 | | |
31 | | 我们的业务和ESG策略 | | 109 | | 我们的供应链 | | |
33 | | 我们的商业模式 | | 118 | | 创新生态系统 | | |
41 | | 与CFO的问答 | | 124 | | 我们社区的重要合作伙伴 | | |
| | 财务业绩 | | | | 治理 | | |
44 | | 绩效KPI | | 134 | | 管理ESG可持续性 | | |
49 | | 长期增长机会 | | 135 | | 负责任的企业 | | |
| | 风险 | | 147 | | 我们的征税方法 | | |
52 | | 我们如何管理风险 | | | | | | |
56 | | 风险因素 | | | | 我们的故事 | | |
| | 环境、社会和治理 | | 8 | | 解决污染 | | |
| | | 22 | | 全球福祉 | | |
70 | | ESG一目了然 | | 30 | | 粮食安全 | | |
71 | | 我们重要的ESG可持续发展主题 | | 40 | | 能源转型 | | |
| | | | 51 | | 智能移动 | | |
| | | | 69 | | 虚拟和增强现实 | | |
| | | | 149 | | 可穿戴技术 | | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | |
公司治理 |
| | 公司治理 |
152 | | 管理委员会 |
154 | | 监事会 |
157 | | 与执行局有关的其他事项 |
160 | | 股东周年大会及股本 |
165 | | 财务报告和审计 |
167 | | 遵守公司治理要求 |
| | 监事会报告 |
168 | | 监事会主席的贺词 |
170 | | 2022年监事会关注的焦点 |
174 | | 会议和出席情况 |
177 | | 监事会委员会 |
185 | | 财务报表和利润分配 |
| | 薪酬报告 |
186 | | 薪酬委员会主席的贺词 |
188 | | 薪酬一目了然 |
190 | | 薪酬委员会 |
192 | | 管理委员会的薪酬 |
208 | | 监事会薪酬 |
| | |
本年报中使用的缩略语、技术术语及其他术语的定义或解释见定义一章。在某些情况下,为了方便读者,数字被四舍五入。
本报告包括《荷兰金融市场监督法》第1条第1款和第5条第25c款所指的受管制信息。
| | | | | | | | |
财务与非财务 |
| | |
| | 合并财务报表 |
214 | | 独立注册会计师事务所报告 |
216 | | 合并业务报表 |
217 | | 综合全面收益表 |
218 | | 合并资产负债表 |
219 | | 合并股东权益报表 |
221 | | 合并现金流量表 |
222 | | 合并财务报表附注 |
| | 非财务报表 |
264 | | 独立审计员的保证报告 |
266 | | 关于非财务信息 |
272 | | 非财务指标 |
290 | | 其他附录 |
309 | | 定义 |
317 | | 展品索引 |
| | |
| | |
| | |
在本报告中,为方便起见,有时在提及ASML Holding N.V.和/或其任何子公司的情况下使用名称“ASML”(视上下文需要而定)。
本年报内对本公司网站及╱或视频资料之提述仅供参考,概无或任何部分以提述方式纳入本报告。
© 2023,ASML Holding N.V.版权所有
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 前瞻性陈述 | 战略报告 | 治理 | 金融类股 | 4 |
| 关于前瞻性陈述的特别说明 | | | | |
本年度报告包含与我们预期业务、业绩预测、业务趋势和1995年《私人证券诉讼改革法案》所指的“前瞻性”事项有关的声明。您通常可以使用诸如“可能”、“将”、“可能”、“应该”、“项目”、“相信”、“预期”、“计划”、“估计”、“预测”、“潜在”、“意图”、“继续”等词语以及这些词语的变体或类似词语来识别这些陈述。它们出现在本年报的多处,包括关于我们的预期趋势和前景、战略、企业优先事项和目标、预期半导体行业趋势、客户服务市场的预期趋势(包括半导体需求的预期增长)的陈述。
产能、预期半导体市场趋势和市场增长以及这些趋势和增长的驱动因素、预期财务业绩,包括预期销售额、服务收入、毛利率、预期资本支出、研发和SG & A费用、有效年化税率、年收入增长率和2023年展望以及“趋势信息”下的其他报表,年度销售和毛利率机会以及潜力和增长前景,以及2025年和2030年,2025年的销售模式以及标题为“长期增长机会”的章节下的其他报表,标题为“风险因素”的章节下的报表,客户需求和半导体需求的预期趋势,包括终端市场的预期趋势,包括存储器和逻辑,预期高NA的发展和预期开始出货的时间
高NA系统的大批量生产、半导体行业市场机遇、预期EUV和DUV及基于安装的管理销售以及对DUV系统持续作用的预期、EUV产品路线图、我们的供应链战略和目标、客户、合作伙伴和行业路线图、我们工具的预期生产率和好处、潜在的未来创新和系统性能、我们工具的预期出货量,包括出货需求和时间、有关DUV和EUV竞争力的声明、EUV技术的发展、收入确认、晶圆的预期需求、通胀的预期影响、ESG战略(包括我们的可持续发展目标、目标和战略)、环境、多样性和可持续发展战略、雄心、目标和目标,
目标,包括循环采购目标、有针对性的温室气体排放和废物减少、回收和翻新举措、投资和目标以及节能战略和目标,包括关于零碳排放和跨业务能源使用间接排放以及降低价值链中所有其他排放强度的声明及其时间目标、关于摩尔定律的声明,现金回报及股息政策,我们预期继续透过股份回购及股息(包括2022年的拟议股息)向股东返还现金,以及与股份回购计划有关的报表、有关会计准则预期影响的报表及其他非历史报表。这些前瞻性
财务报表并非历史事实,而是基于对业务及未来财务业绩的现时预期,估计,假设及预测,读者不应过分依赖这些报表。前瞻性陈述并不保证未来的表现,并且由于某些风险和不确定性,实际结果可能与预计结果存在重大差异。该等风险及不确定性包括但不限于我们如何管理风险—风险因素下所述之风险及不确定性。该等前瞻性陈述仅于本年报日期作出。我们不承诺更新或修改前瞻性陈述,无论是由于新信息、未来事件或其他原因。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 来自首席执行官的信息 | 战略报告 | 治理 | 金融类股 | 5 |
| 在充满挑战的一年中创纪录的表现 | | | | |
| 2022年创纪录的净预订量,充满新产品和服务的创新管道 和我们才华横溢、精力充沛、积极投入的人民,我们满怀信心地面对未来。 | | | | |
尊敬的利益相关者:
这些数字不言而喻:创纪录的销售额达到212亿欧元,与2021年相比增长了13.8%,毛利率达到50.5%,每股股息5.80欧元,这些加在一起,是ASML又一个出色的一年。我们2022年的净预订量达到了无与伦比的307亿欧元,我们的渠道正在自由流动,推出、即将推出或正在开发的一些新产品,我们的员工才华横溢、精力充沛、敬业。毫不奇怪,我们期待着一个非常光明的未来和强劲的增长。我要感谢我们所有利益攸关方在这一年中给予的支持,我尤其要向我们的人民致敬,他们再次表现出杰出的承诺和专长,没有他们,我们就不可能取得任何成就。
然而,尽管有积极的数字,但现实是,2022年实际上可能会更好。我们满足客户需求的能力继续受到一系列我们无法完全控制的情况的影响。新冠肺炎的后果,乌克兰持续的战争,以及我们的一些供应链合作伙伴由于材料短缺而难以按照我们商定的计划交货,这些因素加在一起造成了巨大的动荡,意味着我们无法始终向客户提供他们需要的东西。
最终,我们看到2020年首次出现的全球芯片短缺将持续到2022年。我们在个人生活中都以这样或那样的方式遇到过这种情况,无论是推迟拥有一辆新车,还是减少太阳能电池板等技术的可获得性。
实现我们的业务战略…
尽管我们有时在行动上举步维艰,但从战略角度来看,我们一直在提供服务。我们全面的产品组合与客户的路线图保持一致,提供经济高效的解决方案来支持从前沿节点到成熟节点的所有应用。在今年的众多亮点中,我们出货了第一款TWINSCAN NXT:2100i,收到了TWINSCAN EXE:5200的新订单,并看到几家客户采用了Align Optimation 12颜色。
| | |
|
|
我们的投资将增加运力。“ |
彼得·温宁克 |
总裁,首席执行官兼管理委员会主席 |
虽然我们有比我希望的更多不满意的客户,但我们也经历了同理心和支持。我们总是让客户充分了解发货的任何延误,他们可以自己看到我们的投资是如何提高运力的。起重机矗立在我们工厂的天际线上,我们将在2025-2026年前将我们的制造能力增加到90个EUV 0.33 NA和600个DUV系统的投资开始形成,同时我们还在将我们的EUV 0.55 NA(High-NA)能力在2027-2028年前提高到20个系统。卡尔·蔡司等关键合作伙伴也在忙于增加产能,尽其所能缓解供应链的僵局。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 来自首席执行官的信息 续 | 战略报告 | 治理 | 金融类股 | 6 |
| 在充满挑战的一年中创纪录的表现(续) | | | | |
的确,一些客户担心全球经济环境,选择推迟发货。但正如我们的订单簿所证明的那样,大多数人都在继续敦促我们获得他们所需要的工具,并渴望占用我们可以释放的任何备用产能,以便在可能的情况下比预定时间更早地交付。
我们亦一直致力提高我们的生产能力、员工及供应链的灵活性,使我们能够迅速及适当地应对当前的不确定性浪潮。
我们的ESG战略
本年度报告的主题是 小图案。影响很大。我们在ASML所做的事情不仅对我们的客户,而且对整个社会都有广泛的影响。由我们的研发团队和合作伙伴开创的这项技术是全球数字化的核心,有可能改变我们所有人的生活和工作方式,从实现预测性医疗保健、能源转型和智能城市到可穿戴设备、自动驾驶汽车和机器人。
我们于二零二一年推出的ESG策略确认并解决我们对社会的影响。它支持我们成为一个负责任的组织和世界上的善力量的努力。
当然,我们在这方面并不是独一无二的。所有负责任的公司现在都投入了大量资源用于ESG事务,反映了世界如何应对其主要挑战,特别是气候变化和能源转型。对我们来说,ESG是帮助创建一个负责任的社会—一个尽可能多的人拥有安全健康的环境、工作、家和食物、良好的学校和优质的医疗服务的社会。这些都是企业蓬勃发展和经济增长的重要基本条件。正如我们在“环境、社会及管治—ESG一瞥”中所概述,我们在过去12个月取得了良好进展。
我们一直都非常直言不讳地说,我们正在以利益相关者的模式运营这家公司,而不仅仅是股东的模式。我们有五个利益相关者群体—我们的员工、我们的客户、我们的供应商、我们的股东和社会。正是这五个方面的平衡,才真正使一家公司具有公信力。如果你只关注其中的一个或两个利益相关者,其他人可能会受到影响。所以我们非常努力地工作,以取得正确的平衡。我们并不完美,仍有许多工作要做—但我们的ESG策略是一盏重要的灯塔,照亮了未来的道路。
与我们的合作伙伴合作
没有我们的伙伴关系生态系统,我们无法生存,这正是我们价值观的核心—挑战、协作和关怀。我们喜欢接受挑战,当我们与其他人合作时,我们会更好地迎接挑战,从学术界和研究机构到来自世界各地的领先公司,建立信任,分担风险和回报。我们正在共同开发能够产生积极影响的技术——关爱生态系统、我们所有的利益相关者和我们的星球。
我们与我们的供应商和创新合作伙伴以及蚀刻和沉积合作伙伴等其他设备供应商在强大的全球半导体创新生态系统中共同努力,以了解图案化以及我们如何提供客户、客户和最终用户所需的解决方案。
作为架构师和集成商,我们精心安排了这一过程——基于我们的价值观,帮助填充我们的创新漏斗,并保持ASML管道的自由流动。Brainport Eindhoven创新生态系统是这种合作水平的一个很好的例子,这种合作基于信任、透明度以及分享专业知识和知识的意愿。
| | |
|
|
|
|
我们的ESG策略是一盏重要的灯塔,照亮未来的道路。 |
彼得·温宁克 |
总裁,首席执行官兼管理委员会主席 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 来自首席执行官的信息 续 | 战略报告 | 治理 | 金融类股 | 7 |
| 在充满挑战的一年中创纪录的表现(续) | | | | |
推动全球人才的寻找
人是公司最大的资产,这可能是老生常谈,但这也是非常正确的--人才短缺是影响地球上每个行业的一个因素,包括我们的行业。为了实现芯片行业在全球和欧洲生态系统内的雄心壮志,我们需要在未来十年大幅增加工程人才的流入。
韩国、美国、台湾和日本政府都在大力投资芯片相关教育和职业培训。我们需要看到荷兰和其他欧洲国家的政府也这么做。在ASML,我们正在发挥我们的作用。教育是我们社区参与活动的关键支柱,在2022年期间,我们再次支持了提高年轻人对技术的兴趣和增加当地人才库的计划[所有的主要]我们经营的地理位置。
更多内容请阅读:
社交
2022年,我们迎来了7130名新员工加入ASML,因此,我们吸引人才的努力正在产生回报,因为我们能够为他们提供在尖端技术工作的机会。今天,我们有140多个国家的ASML--但我们知道年轻人经常搬家,可能不会像前几代人那样呆上20年左右。因此,我们的挑战是确保ASML是一个有吸引力的长期选择,让人们能够做出贡献,享受这样做的好处,并发展自己。这就是我们的“能做”文化如此重要的地方。我们这里有一个工作场所环境,在这里人们可以推动创新,相互激励,并帮助确保数字技术发挥其潜力。
展望2023年及以后
在2023年的年度股东大会上,我们监事会主席Gerard Kleisterlee将卸任,他自2015年以来一直在监事会任职。我要感谢杰拉德作为监事会主席、遴选和提名委员会主席以及技术委员会成员所做的宝贵贡献。在他八年的服务期间,他为监事会带来了深刻的经验,并为ASML提供了大量的指导和建议。我们祝愿杰拉德未来一切顺利。
纵观我们的商业环境,短期内,由于能源短缺、通胀、消费者信心下降和经济衰退等一系列宏观经济问题,我们的商业环境笼罩着不确定性。在地缘政治层面上,社会经济区块的分化--以及相关的出口和进口管制--正在威胁地球村的发展,而地球村为我们近年来看到的许多创新做出了巨大贡献。如果国家或贸易集团撤退到自己的领土上,那么创新的效率将会降低,成本也会更高。
多家新闻机构2023年1月底报道称,美国、荷兰和日本同意进一步限制向中国出口半导体制造设备。我们了解到,已经采取措施,涵盖先进的光刻工具以及其他类型的设备。这份协议的条款尚未公开披露,目前仍处于保密状态。我们预计,各国政府将需要数月时间来制定和颁布新的规则。结合目前的市场形势,我们预计这些措施不会对我们对2023年的预期产生实质性影响。
展望不久的将来,我们将不得不应对系统中的冲击,我有信心我们会这样做,因为对半导体和半导体设备的需求不断增长。在接下来的12个月里,我预计我们将再次打破纪录。
2023年以后,我对我们的行业总体上非常乐观,特别是对ASML。一些行业分析师认为,到2030年,我们的半导体行业将增长到1万亿美元--我们并不反对。我们自己的预期是,我们的系统和安装基础收入加在一起,可以提供约14%的年收入增长率12020-2030年期间。
如果我们要实现这一雄心,在ASML内部和外部与我们的合作伙伴和供应商的团队合作将是至关重要的组成部分。通过挑战、合作和关怀,我们将在满足客户需求方面发挥主导作用,在正确的时间提供正确的技术,使半导体行业能够蓬勃发展,同时考虑到我们周围社区的利益。
彼得·温宁克
总裁,首席执行官兼管理委员会主席
| | |
|
|
通过挑战、合作和关怀,我们将在满足客户需求、在正确的时间提供正确的技术方面发挥领导作用。 |
彼得·温宁克 |
总裁,首席执行官兼管理委员会主席 |
1.使用2020—2030年ASML收入情景模型的中点,我们预计2020年的基础收入约为140亿欧元,潜在复合年增长率约为14%。这是我们系统销售额增长和安装基础管理收入的综合。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 小图案。巨大的影响。 | 战略报告 | 治理 | 金融类股 | 8 |
| | | | | | | | | | | |
| | | |
| | | |
| | 解决污染 | |
| | 纳米创新,宏观挑战 | |
| | 我们的光刻解决方案不仅有助于减小芯片尺寸,还能提高性能和能效。这为纳米创新打开了大门,如“有翼的微芯片”—灵感来自种子在空气中传播的方式,这些超微型电子设备可以乘着风来跟踪空气污染,空气传播疾病和环境污染。 | |
| | 在线阅读更多 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司 | 战略报告 | 治理 | 金融类股 | 9 |
| 一目了然 | | | | |
| 作为芯片行业的全球创新领导者,我们为芯片制造商提供硬件、软件和服务,通过光刻技术在硅上大规模生产图案。 | | | | |
| 我们于二零二零年收购的Berliner Glas(ASML Berlin GmbH)在本报告中反映为我们业务的一部分,但非财务报告除外。 | | |
| | | | | | | | | | | | | | |
| 关键事实 | | | |
| | | | |
| 212亿欧元 | | 33亿欧元 | |
| 总净销售额 | | 研发投资 | |
| 186亿欧元亚洲 20亿美元 欧洲、中东和非洲 | | 我们在我们的整个 产品组合通过强大的研发投资 | |
| 阅读更多关于第44页> | | 阅读更多关于第44页> | |
| | | | | | | | | | | | | | | | | |
| | | | | |
| | 39,086 | | >60 | |
| | 员工(FTE) | | 位置 | |
| | 18,854个业务 14,181名研发人员 6,051销售和支持 | | 横跨三大洲 总部位于 荷兰自1984年以来 | |
| | 阅读更多关于第97页> | | 阅读更多关于第9页> | |
| | | | | | | | | | | | | | | | | |
| | | | | |
| | 10 | | 143 | |
| | 物质可持续性主题 | | 民族 | |
| | 责任和善政是我们如何开展业务的根本 | | 我们努力保持一个人人都感到被重视和尊重的环境, | |
| | 阅读更多关于第71页> | | 阅读更多关于第97页> | |
| | | | | | | | | | | | | | |
| 重点产品和服务 | | | |
| | | | |
| 光刻系统 | | | |
| | | | |
| 极紫外线(EUV)。我们是世界上唯一的EUV设备制造商,这是最先进的系统,能够以更高的密度打印更小的特征。 | | 深紫外线(DUV)。作为半导体行业的主力军,DUV生产了当今客户设备中的大部分层,并且对于未来的设备仍然很重要。 | |
| | | | |
| 计量和 检查系统 | | 计算型 光刻 | |
| | | | |
| 利用光学和电子束技术,这些系统使芯片制造商能够在整个芯片制造过程中评估其性能,帮助提高精度、性能和质量控制。 | | 该工艺用于新芯片的开发,以优化掩模版设计,实现更精确的监控和控制。 | |
| | | | |
| 软件 | | | |
| | | | |
| 光刻工艺及控制软件解决方案。 | |
| | | | |
| 翻新 | | 客户支持 | |
| | | | |
| 我们用几十年来衡量机器的寿命,而不是几年。我们翻新和升级旧版光刻系统以延长其使用寿命,并提供相关服务。 | | 我们为客户提供广泛的应用、服务、技术支持产品和升级,以确保我们的设备在生产过程中可靠地工作。 | |
| | | | | | | | | | | | | | | | | |
| 我们的全球业务 | | | | |
| | | | | |
| |
| 亚洲 | | |
| | | 中国 | | |
| | | 香港 | | |
| | | 日本 | | |
| | | 韩国 | | |
| | | 马来西亚 | | |
| | | 新加坡 | | |
| | | 台湾 | | |
| | | | | |
| | | | | |
| | | | | |
| |
| 北美 | |
| | | 亚利桑那州 | 俄勒冈州 | |
| | | 加利福尼亚 | 德克萨斯州 | |
| | | 科罗拉多州 | 犹他州 | |
| | | 康涅狄格州 | 维吉尼亚 | |
| | | 爱达荷州 | | |
| | | 马萨诸塞州 | | |
| | | 新墨西哥州 | | |
| | | 纽约 | | |
| | | | | |
| | | 欧洲、中东和非洲地区 | | |
| | | 比利时 | | |
| | | 法国 | | |
| | | 德国 | | |
| | | 爱尔兰 | | |
| | | 以色列 | | |
| | | 意大利 | | |
| | | 荷兰 | | |
| | | 英国 | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司续 | 战略报告 | 治理 | 金融类股 | 10 |
| 是什么让我们ASML | | | | |
| | | | | | | | |
| 我们的目标 | |
| | |
| 为什么我们存在 | |
| 释放人和社会的潜力 通过将技术推向新的极限 | |
| 这些年来,社会取得了巨大的进步,但世界仍然, 面临着未来的严峻挑战。我们必须改变我们在影响每个人的主题上的思考和行动方式。这就是为什么我们寻求至少以与客户相同的速度进行创新,集中我们的智慧和资源,不断寻找有助于改善社会在能源使用、气候变化、流动性、医疗保健、教育和营养等领域的新方法。 | |
| | | | | | | | |
| 我们的愿景 | |
| | |
| 我们努力实现的目标 | |
| 我们利用突破性的技术来解决一些人类最严峻的挑战 | |
| 在ASML,我们相信微芯片行业处于独特的地位, 帮助应对这些挑战。从人工智能(AI)到庞大的互联网 在物联网(IoT)中,微芯片是现代技术的核心,这些技术正在推动向可持续能源过渡,改善全球健康,提高运输的安全性和效率,解决污染问题,弥合数字鸿沟,或在不耗尽地球资源的情况下养活近80亿人。 | |
| | | | | | | | |
| 我们的使命 | |
| | |
| 我们的独特之处在于 | |
| 我们与合作伙伴一起,提供领先的图案化解决方案,推动微芯片的进步 | |
| 半导体行业的长期增长基于这样一个原则,即电子计算所需的能量、成本和时间可以通过缩小微芯片上的晶体管来减少。要实现收缩,我们所做的--光刻--是关键。通过我们对研发的持续投资和奉献,我们已成为全球主要芯片制造商的创新领导者和专注于整体光刻解决方案的供应商。 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司续 | 战略报告 | 治理 | 金融类股 | 11 |
| 是什么让我们成为ASML(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
| 我们的核心价值观 | | | | | |
| | | | | | |
| 为了帮助解决人类最严峻的挑战,同时解决我们自己的挑战,我们必须继续放大ASML创造了我们成功的核心价值观-挑战、合作和关怀。我们相信,这些价值观有助于为我们的员工提供机会,让他们在安全、包容的环境中发展自己的才华、感受尊重和茁壮成长,从而使他们能够做出明智的决定,使所有利益相关者受益。 | |
| |
| | | | | | |
| 我们挑战 | | 我们合作 | | 我们关心 | |
| 说这件事做不到,我们向你挑战。我们勇敢地挑战边界,质疑现状。我们不断完善我们的理念和流程,这使我们能够不断推动技术向前发展。 | | 我们合作挖掘我们的集体潜力。我们与我们生态系统中的合作伙伴一起,扩展我们的知识和技能,相互学习,分享方法,以交付最佳结果。通过这种方式,我们创建了针对ASML整体进行优化的解决方案。 | | 作为行业领导者,我们以诚信和尊重的态度行事,意识到我们的影响超越了技术,延伸到人、社会和地球。我们个人有责任创造一个安全、包容和信任的环境,鼓励和支持来自各种背景的人畅所欲言、贡献、犯错、学习和成长。 | |
| | | | | | |
| 我们勇敢地挑战边界, | | 我们扩展我们的知识和技能, | | 对人类、社会和地球都是如此。 | |
| 观看《我们的价值观》视频 | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司续 | 战略报告 | 治理 | 金融类股 | 12 |
| 我们如何创新 | | | | |
| 我们的创新哲学是我们将自己视为架构师 和集成商,在创新生态系统中与合作伙伴合作。 | | | | |
微小的微芯片,推动全球生态系统
每一天的每一刻,人们都在使用包含微芯片的技术。这些都是小但强大的设备,即使是最简单的芯片上制造这些层也需要一个复杂的过程,世界上很少有公司掌握这个过程。从开始到成品可能需要几个月的时间,因为硅片要经过芯片制造商制造厂(半导体加工厂)的数十台不同的机器,才能进入电子产品。
几十年来,这种多方面的生产过程使半导体行业成为一个全球生态系统。这个生态系统包括专业芯片设计公司、设备和基础设施供应商以及芯片制造商本身。
走在我们数字未来前沿的强大协作网络
作为光刻设备的重要制造商,ASML是这一生态链的重要组成部分。我们的光刻系统使在硅片上制造电路图案成为可能,在世界上每一家主要芯片制造商的工厂都可以找到。
但我们的系统只是网络和流程的一部分,涉及众多供应商和最新的芯片制造设备。过程中的每一步和每一台机器都很重要。这就是为什么合作和创新是关键。在ASML,我们通力合作取得成功--从帮助我们理解并推动物理定律的学者,到发现新可能性的客户,以及将我们的想法转化为产品和技术的供应商。
我们的生态系统合作伙伴
我们通过合作伙伴关系进行创新。通过与客户密切合作开发我们的技术,我们寻求今天构建他们明天需要的东西。我们根据他们的投入开发我们的机器,并与他们密切合作,帮助他们追求技术和成本路线图。
我们还与供应商密切合作,信任他们为我们的系统制造零部件和模块。他们中的许多人深入参与开发新技术和实现我们寻求的创新。与一些所谓的“农场外承包商”,我们作为共同投资者工作。
我们与Carl Zeiss SMT的合作关系已经超过30年,我们在该公司也拥有重要的战略利益。我们奉行“两家公司,一行”的原则,共同努力推动创新和技术的卓越运营。
我们通过广泛的技术合作伙伴网络共同开发专业知识,包括比利时的imec和特文特、代尔夫特和埃因霍温的技术大学以及荷兰的纳米光刻高级研究中心(ARCNL)。
产生想法,寻找技术创新和解决方案
我们的研发部门拥有超过14,000名业内最聪明的人才,ASML在创新世界上最先进的光刻系统方面具有独特的地位。我们继续大力投资研发——2022年,我们在这一关键领域投入了33亿欧元,而2021年为25亿欧元,同时平衡了客户需求、产品能力和技术解决方案。
我们的研发团队专注于产生和探索令人兴奋的新想法,并证明其长期可行性,以及为同事可能面临的任何已进入开发阶段的产品和应用程序的挑战寻找技术解决方案。
我们的研究人员不断在半导体行业内外寻找技术创新和解决方案,以评估它们是否可以应用于ASML的技术路线图中,以支持我们的客户,并帮助推动他们自己的半导体器件路线图。
| | | | | |
| |
| |
| 我们通过合作伙伴关系进行创新。通过与客户密切合作开发我们的技术,我们寻求今天构建他们明天需要的东西。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司续 | 战略报告 | 治理 | 金融类股 | 13 |
| 我们如何创新(续) | | | | |
填充“创新漏斗”
我们鼓励我们的专家在更广泛的技术领域建立广泛的网络。这支持了我们的技术管道源源不断的新想法,这些新想法流经我们所谓的“创新漏斗”(见右图)。这有助于我们选择新的想法,有潜力推进我们的产品和他们的客户应用。
通过可行性评估的想法进入我们的产品生成流程(PGP),这是一个基于决策的产品开发流程,包括在必要的环境中构建和测试系统原型。通过这些测试的原型可能最终会导致新产品的发布。
–我们的研究团队在寻找新的想法
–这些想法要经过“概念验证”阶段。
–通过可行性评估的将被转移到我们的开发和工程(D&E)部门
–在PGP的指导下,我们的D&E工程师创建新的组件或子系统,将它们集成到功能系统中
–他们还开发新的应用程序
–他们确保我们以产品上市时间为重点进行创新
2022年的创新成果
每一天,我们的团队都承担着构建和推动创新的令人兴奋的挑战,以提升我们作为世界上最先进光刻系统供应商的声誉。为此,我们应用并行工程,通常在上一代系统到达客户之前就开始新的系统开发。与此同时,我们不断寻求改进我们的产品和能力,同时确保它们的可靠性、可制造性和适用性。
在DUV,我们交付了第一台TWINSCAN NXT:870-第一台NXT KRF系统-以及第一台TWINSCAN NXT:2100i-使客户的产品覆盖率提高了20%以上。
在我们的EUV高NA业务中,我们收到了第一批高NA机械投影光学器件和
来自供应商的照明器和新的晶圆平台。这些模块将用于初始测试和集成,这是EXE:5000计划的重要一步。 此外,为了进一步加强我们的产品供应,我们发布了ALO12C,这是一种硬件-软件组合,使我们的客户能够使用12种颜色而不是4种颜色来优化晶片对准性能。
我们还继续推进我们的计量和检查路线图。例如,我们的第一代25波束(5x5)多波束系统HMI ESCAN 1100多波束系统已交付客户评估。
2022年,我们还推出了第一款eScan460系统,这是我们的下一代单光束检测系统.
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司 续 | 战略报告 | 治理 | 金融类股 | 14 |
| 客户亲密度 | | | | |
| 我们相信与客户建立真正的合作关系至关重要, 以确保我们分担风险和回报。 | | | | |
与各级客户互动,专注于长期挑战
我们是世界领先的芯片制造设备制造商之一,而我们的客户是世界领先的芯片制造商。我们使他们能够创建定义芯片上电子电路的模式,因此我们的成功与他们的成功密不可分。
这就是为什么我们与客户合作,以了解我们的技术如何最好地满足他们的需求和挑战。这意味着我们要在各个层面与客户互动:建立合作伙伴关系,分享知识和风险,调整我们在创新方面的投资,并日益关注未来五到十年及更长时间的长期挑战。我们发展我们的
根据他们的投入,我们提供的解决方案,帮助他们实现技术和成本路线图,并共同努力,通常是在同一个团队中,以确保我们的解决方案完美地组合在一起。
与客户充分接触也是确保完整产品组合的重要组成部分,这些产品组合将使我们的公司在未来得以维持—这包括在大批量制造环境中增加EUV的采用。于2022年,我们收到额外的TWINSCAN EXE:5200订单,这是EUV 0. 55 NA(高NA)平台的大批量生产版本。目前所有EUV客户都提交了High—NA的订单,表明需求持续萎缩。
客户满意度
当我们谈论客户亲密度时,我们指的是所有渠道的整个客户关系,从创新的早期阶段开始。在每个阶段,我们的目标是促进信任、倡导和持续参与,以实现客户完全满意为目标。
随着客户需求变得越来越复杂,与共同愿景保持一致需要更长的时间,因此我们寻求在流程中尽早开始。透明度是关键,我们的客户亲密战略有助于我们利用创新,与客户一起开发更复杂的解决方案。
紧密的客户关系
我们已经建立了跨组织不同渠道的客户互动架构,以支持和维持我们与客户的合作关系。例如,我们定期与主要客户举行会议,以使我们的产品开发计划与他们的业务目标和需求保持一致。
该等会议包括行政人员检讨会议,我们的高级管理团队和管理委员会成员与客户讨论业务和策略;技术检讨会议,我们的高级技术专家、首席技术官和首席业务官与客户讨论技术路线图和要求;以及运营检讨会议,我们检讨与客户运营活动相关的主题。
基于我们的客户关系
我们直接向客户推销和销售我们的产品,没有代理商或其他中间商。我们专门的销售和客户管理部门负责建立和维护我们的客户关系,并确保所有相关的ASML部门为满足客户需求做出贡献。我们的客户经理、现场和应用工程师以及服务和技术支持专家位于亚洲、美国和EMEA地区的客户附近。
我们知道在我们的运营地区拥有训练有素的工程师是多么重要,因此我们提供培训,旨在提高我们当地客户服务团队的能力,并增强当地的技术专长。除了良好的远程控制功能,这确保了我们继续提高当地现场工程师的自给自足能力。
2022年与客户合作
虽然我们在疫情期间与客户保持高水平的互动,但我们很高兴今年的实体互动开始回复“正常”。随着旅行限制、隔离和劳动力限制在许多国家即将结束,我们能够与世界各地的更多客户举行物理会议,他们能够越来越多地访问我们的Veldhoven校园。
| | | | | |
| |
| |
| 我们与客户合作,了解我们的技术如何最好地满足他们的需求和挑战。 |
| |
| |
| |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司 续 | 战略报告 | 治理 | 金融类股 | 15 |
| 客户亲密度(续) | | | | |
然而,在这一年中,世界各地仍然存在一些限制。
我们的客户关系对管理今年上半年大幅增长的需求至关重要。市场仍然强劲,但今年市场过热的性质,加上我们在以客户需要的速度交付系统方面遇到的挑战,影响了我们与他们的对话。在我们共同应对交付挑战的同时,我们尽最大努力让客户充分了解出货状态和产能计划的进展情况。
鉴于二零二二年初出现的短缺,我们的客户仍然迫切需要我们的设备。我们与他们合作,专注于行业各个领域不同客户的动态。作为我们承诺快速响应客户需求的一部分,我们还推出了“快速发货”。
市场继续受到政府的影响,例如通过美国和欧盟的《芯片与科学法案》,该法案侧重于联邦援助,以鼓励微处理器制造设施的建设。政府的这种关注需要在特定地区进行重大投资,这也需要为新工厂交付我们的设备。
衡量我们的方法
我们的客户之声计划有助于确保员工直接了解客户的需求和挑战。这对于无法直接接触客户的员工来说尤其重要。为了接触到尽可能多的员工,该计划利用不同的沟通渠道:现场演示和与高级客户代表的问答、录制的客户访谈、在线文章以及与客户代表的个人互动。
虽然我们在某些地区仍面临COVID—19限制的长尾,但我们继续开展本地客户之声倡议和远程客户访谈。我们的常规互动时间表贯穿全年,我们开始重新引入更多观众的现场演示,并尽可能将远程与面对面互动相结合。
我们还要求客户通过我们的客户反馈调查对我们的表现进行评分。他们的直接评分和坦率的评论为我们如何为客户的成功做出贡献并帮助他们克服挑战提供了宝贵的见解。我们仔细分析结果,检查每个客户的见解,然后根据他们的意见制定有针对性的持续改进计划,以确保我们考虑到他们的优先事项。
我们一直忙于部署2020年调查中确定的改善措施。这帮助我们专注于真正了解客户对我们的需求,并验证我们正处于正确的轨道上,并进行正确的改进。我们已定期向客户更新进度,并于二零二二年九月发出最新调查。2022年的调查结果显示,客户对我们的团队、产品、表现以及我们为他们提供的业务支持感到满意。他们还要求我们密切倾听他们的反馈,为他们提供更短的交付时间,并继续与他们合作并根据他们的需求推动技术向前发展。
在外部,TechInsights通过其年度客户满意度调查,根据三个关键因素对整个半导体行业的供应商表现进行基准评估:供应商表现、客户服务和产品表现。我们的目标是在大型半导体设备供应商中跻身前三名。在2022年TechInsights基准排行榜中,我们再次获得客户满意度排名第二的10家最佳芯片制造设备大型供应商,以及最佳制造设备供应商类别的第一名。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司续 | 战略报告 | 治理 | 金融类股 | 16 |
| 我们的产品和服务 | | | | |
| 我们高度差异化的解决方案为我们的两个客户提供独特的价值驱动因素 和ASML,这将使负担得起的收缩持续到下一个十年。 | | | | |
整体光刻产品和
服务组合
半导体行业是由负担得起的规模推动的--以合适的价格制造更小、更节能的晶体管的能力。我们的整体光刻产品组合致力于实现远超当前十年的光刻收缩,使我们的客户能够在未来多年实现每片硅片的最大价值。
我们全面的产品组合为客户提供广泛的产品和服务,从大规模生产先进的逻辑和存储芯片,到创造新的‘超越摩尔’应用或具有成本效益的成熟芯片技术。我们的产品为各种行业波长提供图案化解决方案-从最先进的13.5纳米EUV波长到行业主要的193 nm、248 nm和365 nm的DUV波长。
随着芯片制造商继续扩大节点规模,它们面临着前所未有的工程、材料、结构和制造方面的困难。我们的应用产品支持我们的光刻平台,这得益于我们帮助客户最大限度地提高图形性能的独特能力。该产品组合包括光学和电子束计量、高分辨率电子束检测、计算光刻和扫描仪以及过程控制软件解决方案。
我们还通过一流的客户支持支持我们不断增长的客户群,为我们的客户提供升级解决方案,以提高工作效率并改善成像、覆盖和可用性。
自我们开始开发EUV技术以来的二十多年里,我们在研发上投入了数十亿美元,并收购了总部位于圣地亚哥的光源制造商Cmer,以加速我们的EUV光源技术。这帮助我们解决了几个技术挑战,使我们的客户大规模制造所需的EUV基础设施得以实现。
我们的成功来自于与客户和供应商的密切合作,ASML目前是全球唯一的EUV光刻系统制造商。自推出以来,我们的EUV安装基地生产了超过1. 11亿片晶圆,而截至二零二一年底,则生产了5,900万片晶圆。
EUV 0.33 NA
我们的EUV平台通过提供分辨率改进和最先进的覆盖性能,扩展了客户的逻辑和内存路线图,实现了成本同比降低。EUV光刻使用波长仅为13.5nm和数值孔径为0.33的光。与先进芯片制造中使用的下一种最先进的光刻解决方案—深紫外线(DUV)氟化氩(ArF)光刻相比,波长减少了近15倍。这使我们的客户能够在单次曝光中使用EUV,而不是使用ArF浸没的复杂多重图案化策略,并使他们能够进一步缩小微芯片结构。我们的EUV产品路线图旨在推动经济实惠的扩展至2030年及以后。
TWINSCAN NXE:3600D是我们最新一代EUV 0.33 NA光刻系统。它结合了最高的分辨率,与其前身TWINSCAN NXE:3400C相比,生产率提高了15—20%,覆盖率提高了约30%,同时还提高了系统可用性。
EUV 0.55 NA(高NA)
经过六年的工程设计,我们已经开始构建下一代EUV光刻系统—进一步提高分辨率,数值孔径(NA)为0.55 NA,与我们目前EUV平台的0.33 NA相比。为了降低技术引进风险和研发成本,EUV 0.55 NA(High—NA)平台最大限度地提高了与EUV 0.33 NA平台的通用性。
我们的EUV 0.55 NA系统—TWINSCAN EXE:5000和EXE:5200—是EUV技术的一个进步,引入了一种新颖的光学设计和显著加快的掩模版和晶圆载物台。与我们以前的EUV设备中使用的0.33 NA透镜相比,0.55 NA的分辨率得到了提高,这使得更小的晶体管特征能够实现更高分辨率的图案化。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司续 | 战略报告 | 治理 | 金融类股 | 17 |
| 我们的产品及服务(续) | | | | |
这些增强功能为我们的客户带来了巨大的好处,使未来节点的光刻简化、逻辑和动态随机存取存储器(DRAM)的产量更高,并降低缺陷密度。由于其更大的光学器件,EXE平台可以以更高的密度打印更小的特征,从而降低客户的图案化成本。EUV 0.55 NA帮助我们的客户扩展其收缩路线图,并与0.33 NA相比,最大限度地减少双重或三重图案,从而降低图案复杂性、降低缺陷风险和缩短周期时间。
EUV 0.55 NA还旨在支持多个未来节点,预计2025年将首次部署业界,随后将采用类似密度的内存技术。我们预计EUV 0.55 NA(高NA)技术将在2025/2026年开始支持大批量生产。
2022年,我们收到了来自所有现有EUV客户的采购订单,要求交付业界首个TWINSCAN EXE:5200系统—EUV大批量生产系统,具有高NA和每小时220个晶圆的生产率。
DUV光刻系统是行业的主力。DUV系统支持众多细分市场,目前在客户设备中生产大部分层,并且对未来设备仍然很重要。我们为半导体行业目前使用的所有波长提供浸没式和干式光刻解决方案—i—line使用365 nm波长,KrF使用248 nm,ArF使用193 nm。这些系统有助于制造广泛的半导体节点和技术,并支持行业的成本和节能扩展。
我们的DUV浸没和干燥系统在生产率、成像和覆盖性能方面处于行业领先地位,可与EUV相结合,大批量制造最先进的逻辑和存储芯片,同时继续为成熟节点和小批量应用提供价值。
浸没系统
ArF浸没光刻法在透镜和晶片之间保持一层薄薄的水膜。使用水的折射率来增加NA提高分辨率以支持进一步的收缩。我们的浸没式系统适用于单次曝光和多重图案化光刻,并可与EUV系统无缝结合使用,以印刷同一芯片的不同层。
我们最新的最先进的沉浸式系统是TWINSCAN NXT:2100i,于2022年第三季度推出。除了透镜测量、掩模调节和晶圆台的内在改进,以及整体交叉匹配的改进外,NXT:2100i还具有诸如对位优化器12色封装等创新功能。该系统可提供每小时295片晶圆的生产率,结合前所未有的覆盖性能,为客户提供最具成本效益的解决方案,以解决亚3纳米节点上的关键浸没层。
干法系统
并不是芯片上的每一层都必须由最具创新性的浸没式光刻系统生产。虽然一些更复杂的层确实需要更先进的光刻系统,但其他层通常可以使用诸如干法光刻系统之类的“老”技术来打印。我们的干式系统产品组合为我们的客户提供所有类型波长的更具成本效益的解决方案。
我们的TWINSCAN NXT:1470双级ArF系统继续被大多数存储器和逻辑客户所采用,并已被应用于大批量制造工艺中。它是第一个干式NXT系统,建立在通用的浸没平台上,在匹配的机器覆盖(每小时300个晶圆)和占地面积方面都有改进。
继我们新一代KrF系统TWINSCAN XT:860N之后,我们向客户交付了第一台TWINSCAN NXT:870 248 nm步进扫描系统。NXT:870是一款高生产率的双级KrF光刻工具,专为110 nm及以上分辨率的300 mm晶圆生产而设计。通过使用NXT平台、更高的扫描速度和更短的系统开销时间,该系统将生产率从XT的每小时260个晶片的能力:860N提高到每小时330个晶片。
对于更关键的KrF层,0.93 NA TWINSCAN XT:1060K是我们最先进的248 nm双级KrF光刻工具,具有业界最高的NA和生产率,在80 nm及以下提供同类最佳分辨率。
TWINSCAN XT:400L是我们最新的i—line光刻系统,它可以打印分辨率低至220 nm的特征,用于200 mm和300 mm晶圆生产。
| | |
|
TWINSCAN NXT:870是我们新一代的KrF系统。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司续 | 战略报告 | 治理 | 金融类股 | 18 |
| 我们的产品及服务(续) | | | | |
在EUV之前,在浸没之前,甚至在我们的双扫描系统之前,有PAS。1991年,公司成立七年后,我们推出了PAS 5500,这将成为我们的突破性平台。该系统大大缩短了客户的制造时间,其模块化设计使他们能够使用同一系统生产多代先进芯片。
我们的翻新产品业务,称为成熟产品和服务(MPS),翻新和升级我们的旧光刻系统,以延长其使用寿命并提供相关服务。MPS的客户群广泛且活跃于各种市场,特别是在“More Than Moore”领域。
ASML系统具有非常长的运行寿命,通常超过了它们在初始客户中的角色。因此,许多客户能够通过出售不再需要的系统来创造价值。为了支持这种可持续的产品使用,并确保二手系统提供ASML所代表的质量,我们通过翻新和相关服务积极参与二手系统市场。值得注意的是,我们在过去30年中销售的系统中,95%仍在使用。
我们提供PAS 5500的翻新系统和第一代AT、XT和NXT系统。我们的翻新和相关服务擅长延长客户安装基础的使用寿命,从他们的资本中提取价值,并有助于可持续的产品使用。
阅读更多内容:
环境—循环经济—再生成熟产品。
我们的测量和检测系统允许芯片制造商测量他们实际印刷在晶圆上的图案,以查看它们与预期图案的匹配程度。我们的产品组合涵盖了从研发到大规模生产的芯片推向市场的每个阶段,我们的系统监控制造过程的每个步骤,使芯片制造商能够评估整个过程的性能。
这些系统提供了通过我们的过程控制解决方案创建自动化控制回路所需的速度和精度。这优化了每次曝光的光刻系统设置,以减少边缘放置误差(EPE),即产品重叠和关键尺寸均匀性的组合,扩大了工艺窗口,并在大规模生产中实现最高良率和最佳性能。
光学计量学
我们的YieldStar光学计量解决方案使芯片制造商能够通过快速准确的重叠测量来评估批量生产中晶圆上图案的质量。重叠,或芯片的一层与前一层对齐的程度,是衡量光刻性能的重要指标,也是EPE的关键贡献者。随着微芯片上的结构变得越来越小,覆盖和EPE变得越来越重要。
YieldStar 385H于2020年推出,提供光刻后(预蚀刻)覆盖和聚焦测量,提高了产量和精度。与以前的系统相比,主要的改进包括更快的载物台和更快的波长改变。这就可以使用多个波长实现高精度的套刻测量和刀具匹配,而不会影响产量。
我们于2021年推出的最新型号YieldStar 1385H提供测量蚀刻后器件图案的能力,为我们的客户提供更长的良率控制能力。该系统用于快速、准确的器件内覆盖和测量,与之前的YieldStar 1375型号相比,器件内精度提高了约50%的生产率,并能够同时测量多个层,帮助客户通过蚀刻后工艺控制提高产量。
电子束计量与检验
我们的HMI电子束解决方案使客户能够在数十亿个印刷特征中定位和分析单个芯片缺陷,从而扩展了过程控制的范围。虽然电子束解决方案在历史上太慢,无法监控批量生产过程,但我们在提高电子束系统吞吐量的各种方法方面取得了进展。
我们通过广泛采用的单光束平台,继续扩大在电压对比检测和物理缺陷检测方面的技术领先地位。eScan 430是我们最新的单光束检测系统,在逻辑、DRAM和3D NAND的各种应用中,吞吐量提高了35%以上。
我们的高分辨率电子束测量系统eP5提供世界一流的1 nm分辨率和大视场能力。它可以大量生成关键尺寸(CD)和EPE数据,并具有客户监控所需的质量水平。随着设计规则的缩小和EUV光刻的采用,EPE对器件图案化和成品率变得越来越重要。我们还在eP5上发布了EPE计量应用软件产品。它能够在器件上进行局部和全局EPE测量,包括层内和层间。
I2022年,我们发布并发货了eP5 XLE,该产品扩展了高分辨率eP5系统,具有高达30 keV的高着陆能量和快速反向散射电子检测,用于逻辑和存储器中的3D器件的检测和计量。它能够对器件图案进行覆盖测量,补充了我们的YieldStar产品。我们还发布了第一个下一代高分辨率电子束测量系统eP6,以接替eP5。预计eP6的性能将是现有技术的10倍以上。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们公司续 | 战略报告 | 治理 | 金融类股 | 19 |
| 我们的产品及服务(续) | | | | |
建立在2020年发布在我们突破性的多光束检测工具HMI ESCAN 1000中,我们现在已经在我们的产品组合中推出了下一代HMI ESCAN 1100,它具有3x3图像。通过5x5的图像,它展示了成功的多光束操作,同时用25束扫描。5x5系统在检测电压对比度缺陷和物理缺陷方面具有更高的灵敏度,同时显著增加了检测吞吐量。2022年,第一台eScan1100多波束系统安装在客户现场,开始客户评估。
系统和过程控制
我们的系统和过程控制软件产品支持自动控制回路,以保持光刻工艺的最佳运行。使用强大的算法,他们分析计量和检查数据,并计算每个单独曝光的必要修正。然后将这些反馈到光刻系统,以最大限度地减少后续晶片批次中的EPE。通过这种方式,它们能够以最高的产量和性能创造出更先进的微芯片。我们的系统和工艺控制路线图旨在更好地利用我们光刻系统的巨大灵活性。我们能够应用更强大的算法和更高阶校正值来支持我们的客户,并为提高EPE性能制定自己的路线图。
计算光刻和软件解决方案
我们的计算光刻解决方案用于开发新的芯片,以优化掩模图案和光刻系统的设置,以确保提供高良率的坚固、可制造的设计。计算光刻解决方案的洞察力也越来越多地被用于指导计量和检测,从而提高了生产能力,并在大批量制造中实现了更精确的工艺监控。
这些产品基于对光刻系统和工艺的精确计算机模拟,代表了各种物理和化学效果。我们越来越多地使用机器学习技术来进一步加快开发,并不断开发我们的计算光刻产品,以增加模型的范围和精度,并减少计算时间和成本。
为了向所有客户提供尽可能最佳的价值主张,我们提供广泛的客户群管理产品组合,包括广泛的服务和升级选项。
我们的安装基础持续增长,许多系统在新市场和应用程序的新所有者那里找到了第二次甚至第三次生命。
我们开发和销售产品选项和增强功能,旨在提高吞吐量、图案化性能和叠层。我们的现场升级包使客户能够通过将较旧的系统升级到改进的型号来优化其在系统生命周期内的拥有成本。
客户支持
我们为客户提供广泛的应用程序、服务和技术支持产品,以维护和提高我们系统的性能。我们有9000多名客户支持员工,他们致力于确保我们客户的FAB中的系统以最高水平的可预测性和可用性运行。我们提供全天候支持、次日部件交付、简单、集中的客户门户以及对客户工程师的培训。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 与首席技术官的问答 | 战略报告 | 治理 | 金融类股 | 20 |
| 创新——我们进步的动力 | | | | |
| 与我们的首席技术官兼管理委员会副主席总裁交谈 | | | | |
| 马丁·范登·布林克 | | | | |
过去12个月的突出成就是什么?
对我们来说,创新就是在市场上发挥作用。我们的目标始终是为客户提供所需的产品和能力,以发挥技术潜力,为社会做出积极贡献。对计算能力的渴望是无止境的。能源转型、互联互通、医疗保健和其他许多领域都在被数字技术所改变——我们并不直接创造使这些发展成为可能的技术,但我们是重要的推动者。
因此,去年最令人高兴的方面包括看到我们近年来一直在努力的一些想法变成了现实。例如,我们推出了第一批最新的DUV NXT技术,TWINSCAN NXT:2100i。此外,我们目前所有EUV客户现在都提交了EUV 0.55 NA(高NA)的订单。客户将在2024—2025年开始研发,目标是在2025—2026年实现大批量生产。
驱动摩尔定律的瑞利判据
–光盘是临界尺寸,衡量光刻系统可以打印的最小结构有多小。
–兰布达是所用光源的波长,波长越小,可以印刷的结构越小。我们的深紫外(DUV)光刻系统,被称为行业主力,深入紫外光谱,打印形成微芯片基础的微小特征。多年来,ASML已经实现了多个波长步长,我们的DUV光刻系统的波长范围从365 nm(i线),248 nm(KrF)到193 nm(ArF)。凭借极紫外(EUV)系统,我们在大批量生产中提供最高分辨率光刻,因为这些系统在波长方面迈出了重要的一步。使用EUV锡等离子体,我们产生的EUV光的波长仅为13.5 nm。
–北美是数值孔径,表示
光的入射角—带较大NA
透镜/反射镜,可以打印较小的结构。除了更大的镜头,ASML还增加了NA,
通过在最后一个透镜元件和晶片之间保持一层薄薄的水膜,利用水的破裂指数来增加
NA(所谓的浸没系统)。在波长步进到EUV之后,ASML正在开发下一代EUV系统,称为EUV 0.55 NA(High—NA),我们将数值孔径从0.33提高到0.55。
–k1是一个与光学和工艺优化有关的因素。与我们的计算光刻和图案化控制软件解决方案一起,我们为客户提供控制回路,以优化掩模设计和照明条件。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML 2022年度报告 | 与首席技术官的问答 续 | 战略报告 | 治理 | 金融类股 | 21 |
| 创新—推动我们进步的动力(续) | | | | |
| 与我们的首席技术官兼管理委员会副主席总裁交谈 | | | | |
| 马丁·范登·布林克 | | | | |
我们100%致力于推进EUV和DUV技术,以提供均衡的产品组合。近年来,我们的研发重点发生了一些转变,越来越多的重点和资源专门用于DUV,这将继续成为行业的主力和许多客户的首选技术。我们现在正在加紧开发解决方案,将通用性、生产力和性能提升到新标准,为DUV的未来奠定基础。
在幕后,我们的扫描仪和过程控制软件解决方案,以及我们的计算引擎和光学和电子束计量和检测解决方案,一直取得了良好的进展。随着我们继续加强对整体光刻技术的关注,未来几年还会有更多的工作要做。光刻系统非常复杂,因此我们的目标是为客户提供一个全面的集成方法,使他们能够优化光刻工艺。以新的半圆顶镜为例,除了我们已经提供的大约1000种控制之外,这为客户提供了大约100种不同的控制。今年,我们增强了虚拟计算平台,将光刻工艺各个部分的数据汇集在一起,进行分析,并提供反馈回路,以控制工具的性能,优化非常复杂的工艺。
摩尔定律还存在吗?
总体萎缩将持续几年。在他的书中 “奇点即将到来”Ray Kurzweil解释了由于系统创新,每个器件的晶体管数量将如何在十年或更长时间内继续增加,其中光刻是其中一个方面。
但首先,让我们清楚心理医生是一个非常复杂的故事。这部分取决于我们使用光刻技术的方式,符合摩尔定律,通过尺寸缩放,这是过去15年来收缩的主要驱动力。这仍然是非常重要的,但正在减缓,tle [随着图案变得越来越小].中除了尺寸缩放之外,设备和系统缩放都支持收缩。器件扩展涉及用于制造晶体管的材料和结构的创新,而系统扩展则来自更大的片上集成度,例如将处理器、存储器和辅助功能整合到一个芯片中的片上系统解决方案。
除了可扩展性和摩尔定律,其他指标在我们的行业中也很重要—例如,节能性能(EEP),这是我们的主要客户之一台积电首创的。EEP跟踪能源效率,预计每两年将提高三倍。
你面临的主要挑战是什么
作为首席技术官?
作为首席技术官,我总是问自己,如何才能最好地推动ASML的创新,并确保管道继续被填补。其中最重要的因素之一就是人。我们的增长和雇用大量新员工的能力本身就构成了挑战。我们在研发领域雇用了超过14,000名FTE,并且每年增加7—8%。因此,在12个月的时间里,1000名或更多的新员工,所有这些人都需要快速了解并融入我们的文化,然后才能成为我们团队的一员。
可持续性是近年来迅速上升到议程上的另一项挑战。我们现在能够为重复使用和维修等主题带来大量的热情和专业知识—不仅在ASML内部,而且在我们的合作伙伴之间—是非常令人鼓舞的。作为一个集团,我们承认对我们的环境足迹负责,当然,随着行业的增长,环境足迹也在增加。我们一直在努力提高EEP,但事实仍然是,更多的光刻设备在工厂中工作,将不可避免地需要更多的总能源。理解创建一个真正可持续的半导体行业意味着什么将是一个挑战。
ASML的下一步创新是什么?
我可以谈论NA高于0.7的EUV(称为Hyper—NA)可能在本十年结束后不久成为现实;然而,最合适的指南实际上是:这一切都取决于成本。我们需要越来越专注于降低成本——这意味着不是减少资源,而是确保我们向市场推出的解决方案更简单、更可持续、更易维护、更可制造和更可扩展。如果不了解我们从一开始就必须对这些产品施加的成本和复杂性限制,就转移到下一个产品上是不负责任的。这正是我们对新光学计量系统所做的,该系统将于2023年上市。我们在严格的成本参数下重新审查了这个项目,并已经能够实现一项比以前成本效益高出许多倍的新技术。
同样,我们将继续努力控制当前EUV 0.33 NA系统以及High—NA和Hyper—NA的成本,以确保收缩需求保持强劲。十年前,当我们开发高NA时,我们无法想象甚至存在超过0.55的NA。所以Hyper—NA是非常非常困难的。最棒的是,我们的业务和研发能力是这样的,我们可以同时处理所有这些事情。我们可以开发像Hyper—NA这样的技术,同时专注于成本控制、简单性、可持续性、可制造性和可维护性。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 小图案。巨大的影响。 | 战略报告 | 治理 | 金融类股 | 22 |
| | | | | | | | | | | |
| | | |
| | | |
| | 全球福祉 | |
| | 分子级诊断,全球健康影响 | |
| | COVID大流行突出了对新一代医疗诊断工具的迫切需求。持续的规模化和微型化可能会导致比指甲还小的微芯片,可以抓住单个分子并对其进行分析,从而提供实时访问生物信息并在全球范围内实现福祉。 | |
| | 在线阅读更多 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 市场 | 战略报告 | 治理 | 金融类股 | 23 |
| 我们周围的世界 | | | | |
全球面临一系列宏观挑战,包括乌克兰战争、COVID—19后供应链限制、通胀压力及全球衰退风险。
我们行业的大局继续受到全球半导体短缺的影响。数字技术能够改变我们所有人的生活和工作方式,是宏观经济格局的核心。不断扩大的应用空间和不懈的创新预计将继续推动整个半导体市场的增长。行业消息人士预计,2020年至2030年的年增长率为9%,半导体收入将增长一倍以上。
然而,尽管中长期前景和趋势保持不变,但当前的宏观环境造成了一些近期的不确定性。乌克兰战争改变了全球的短期经济压力,导致能源成本迅速大幅上升,这可能会抑制消费者需求。毫不奇怪,人们会选择支付水电费,而不是购买最新的智能手机。此外,我们看到全球所有主要经济体的通胀率上升,这在短期内也将减少对使用半导体产品的需求。
我们继续对整个行业的前景非常乐观,特别是ASML。虽然当前的宏观环境带来短期不确定性,但我们预计长期需求和产能将呈现健康增长。不断扩大的应用空间、持续的行业创新、更多的代工竞争和技术主权,推动了整个半导体市场的需求增长。 二零二零年及二零二一年疫情爆发期间及之后限制供应链的问题已开始缓解,我们正扩大产能。预计2030年全球晶圆需求将超过每月780,000片,我们计划将年产能提高至90台EUV 0.33 NA和600台DUV系统(2025—2026年),同时将EUV 0.55 NA(高NA)产能提高至每年20台系统(2027—2028年)。
以下是当前和未来推动行业发展的一些主要主题和趋势。
市场需求不断增加
无线通信、电信、媒体和云通过连接设备的融合继续推动全球对先进半导体的需求。不断增长的人口、城市化、向使用风能和太阳能的可再生能源的过渡,以及持续的电气化以支持智能移动,正在创造对先进电子设备的日益增长的需求。
微芯片是所有这些设备的核心,从传感器和执行器到智能、可扩展和灵活的计算解决方案。这推动了对新型和成熟芯片的需求,这些芯片专门为智能家居、城市和工业、预测医疗保健、智能可穿戴设备和自主机器人等领域的一波新应用而设计。
阅读更多关于第26页>
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 市场 续 | 战略报告 | 治理 | 金融类股 | 24 |
| 我们周围的世界(续) | | | | |
全球地缘政治
当前的贸易环境给全球半导体行业带来了重大挑战。地缘政治紧张局势和保护主义加剧可能会继续下去。最近,各国政府间达成协议,将进一步限制半导体制造设备向中国出口。该协议将在2023年转化为立法,据我们了解,将专注于先进的芯片制造技术,包括但不限于
先进的光刻工具。疫情提醒世界各国政府,全球供应链可能会对服务、原材料和终端产品造成严重的地域依赖。
半导体在大型工业综合体的增长和延续中发挥着越来越重要的作用,半导体产业的战略重要性只会增加。
各国政府已将注意力转向确保足够的半导体供应以支持当地工业,确保更高水平的技术主权,并计划对半导体行业进行重大投资。行业预测显示,三大半导体制造商计划在未来几年投资超过3000亿美元的全球产能。
该行业继续管理其整体成本,尽管价格上涨最终可能会传递到终端市场,导致设备价格上涨。贸易紧张局势和保护主义也给整个供应链和所需流程带来了极大的复杂性。与这种贸易环境中的许多其他行业一样,该行业需要审查其全球供应链。
应对气候变化
气候变化是世界各国政府、企业和个人的当务之急。这是一项全球性挑战,需要全球应对措施,将全球变暖限制在1.5 ℃以内。应对气候变化的技术——从能源过渡到电气化、支持智能交通和农业创新——都需要半导体。例如,半导体在电能的产生、储存、分配和消耗方面至关重要。
在内部,半导体行业可以发挥重要作用,因为仅制造过程就消耗大量的能源和水资源。
推动摩尔定律实现收缩,同时提高计算能力和存储容量,也刺激了对这些重要资源的需求。为了提高能源和水资源的效率,将需要新的结构和新的方式来看待整个生态系统。
阅读更多关于第76页>
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 市场 续 | 战略报告 | 治理 | 金融类股 | 25 |
| 我们周围的世界(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
影响我们市场的趋势(续) | | | | | | | | |
| | | | | | | | | | |
技术发展 技术正在快速发展,计算的下一个层次正在快速接近。移动计算的时代——你随身携带电脑——正在走向一个沉浸式的“无处不在的计算”世界,无论你走到哪里都可以获得计算能力。 利用人工智能更好、更快地释放数据的力量 向普适计算的过渡是由所谓的“人工智能的事物”(AIoT)实现的。AIoT是一个智能互联的设备网络,可以通过强大的5G网络无缝通信,比以往更好、更快地释放数据的力量。人工智能(AI)技术和物联网(IoT)基础设施的这种结合将实现更高效的IoT操作,改善人机交互并增强数据管理和分析。 随着人工智能和物联网在5G的推动下日益相互交织,AloT的潜力将逐渐发挥。人们可以访问的大量数据以及由此提供的见解将推动半导体业务增长和数字化转型。 | | 目前,大约有400亿台连接设备在使用,每秒钟都有更多的设备在增加。预计到2030年,这一数字将增加到3500亿台设备。根据外部研究,预计到2025年,连接的物联网设备每年将创建多达175 ZB(zettabytes)的数据。为了正确地说,一泽字节等于一万亿千兆字节。以目前可用的平均互联网连接速度下载175 ZB的数据将花费一个人18亿年—在办公室(或其他任何地方)非常漫长的一天。 因此,随着世界向“边缘”计算发展,大数据还需要成为快速数据,以实现无处不在的计算,在边缘计算中处理尽可能靠近数据源,而不是在云中进行。 半导体使能的计算趋势 摩尔定律是半导体行业的指导原则,是推动行业从移动计算过渡到普适计算的发动机。这一转变将继续扩大,促进计算领域的三个主要趋势,如右图概述所示:应用程序、数据和算法。 | | |
| |
| |
| |
| |
| |
| |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 市场 续 | 战略报告 | 治理 | 金融类股 | 26 |
| 我们周围的世界(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
半导体产业市场 | | | 智能手机 | | | 个人 计算 | | | 消费电子 | | | 汽车 | | | 工业 电子学 | | | 有线和无线基础设施 | | | 服务器、数据中心和存储 | | |
2020年,全球生产了超过9530亿块芯片,养活了一个价值4710亿美元的行业。2022年,半导体行业的芯片产量增加到1.11万亿片以上,市场规模达到6180亿美元。增长势必持续,市场分析师预测,到2025年,该行业的规模可能会超过7000亿美元。 半导体技术在塑造互联和智能网络的未来方面发挥着至关重要的作用,终端市场也在持续增长。概述显示了基于外部研究对整个行业当前市场规模和市场机会的展望。 | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | |
| | 关键驱动因素 | | | | | | | | | | | | | | | | | | | | |
| | 持续更新所有半导体内容,包括图像传感器 | | | 高端计算和内存,快速转换为固态硬盘 | | | 传统产品和封装IC、附加组件中的高级IC | | | IC内容增长强劲:GPU、传感器、V2X通信传感 | | | 基于大数据和传感器的AI高端计算 | | | 用于快速数据处理、调制解调器、基站基础设施更新的设备 | | | 处理器和内存高速增长,包括GPU在内的硬件加速 | | |
| | 2020年市场规模 (10亿美元) | | | | | | | | | | | | | | | | | | | | 总计 |
| | 117 | | | 100 | | | 50 | | | 40 | | | 51 | | | 38 | | | 76 | | 471 |
| | 2022年市场规模 (10亿美元) | | | | | | | | | | | | | | | | | | | | |
| | 144 | | | 115 | | | 71 | | | 63 | | | 73 | | | 53 | | | 100 | | 618 |
| | 2025年市场机遇 (10亿美元) | | | | | | | | | | | | | | | | | | | | |
| | 150 | | | 124 | | | 79 | | | 93 | | | 93 | | | 62 | | | 136 | | 737 |
| | 2030年市场机遇 (10亿美元) | | | | | | | | | | | | | | | | | | | | |
| | 213 | | | 131 | | | 114 | | | 149 | | | 160 | | | 82 | | | 249 | | 1,098 |
| | 展望2020—2030年复合年增长率(%) | | | | | | | | | | | | | | | | | | | | |
| | 6% | | | 3% | | | 9% | | | 14% | | | 12% | | | 8% | | | 13% | | 9% |
| | 资料来源:ASML的投资者日演讲(2022年11月)。请注意,可能存在四舍五入差异。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 市场 续 | 战略报告 | 治理 | 金融类股 | 27 |
| 我们周围的世界(续) | | | | |
我们的客户——世界领先的微芯片制造商——可以分为内存和逻辑芯片制造商。我们根据他们的输入来设计我们的机器,我们共同努力,确保我们的机器在他们的工厂中顺利运行。
存储器和逻辑芯片
存储芯片可以在非常小的区域内存储大量数据。它们被用于越来越多的电子产品,如服务器、数据中心、智能手机、高性能计算、汽车或个人计算机以及其他通信设备。通常在专用存储芯片工厂生产的芯片主要有两类:NAND芯片,即使在设备断电时也可以存储数据;DRAM存储芯片,用于有效地向处理器提供数据。
处理电子设备中信息的逻辑芯片由两组制造商生产。第一个群体被称为集成器件制造商(IDM),设计和制造逻辑芯片。第二组包括被称为代工厂的合同制造商。铸造制造商为“无晶圆厂”公司生产芯片,这些公司只专注于芯片设计和分销,但自己不生产微芯片。
逻辑芯片和存储芯片在复杂性和性能上都有很大的差异。例如,最先进的芯片为人工智能、大数据和汽车技术的前沿技术提供动力,而更简单、低成本的芯片则将传感功能集成到日常技术中,以创建庞大的物联网。
芯片市场的增长
过去10年的历史市场复合年增长率(CAGR)为6%,而业内人士预测芯片市场(全球半导体收入)将以9%的复合年增长率增长。1在2020—2023年期间。
1 资料来源:ASML的投资者日演讲(2022年11月)。
平版印刷术是我们的用武之地。它是创造更快、更便宜的芯片的驱动力,这些芯片功能更强大、更节能。当今最先进的处理器基于逻辑N5节点,包含数十亿个晶体管。进一步缩小晶体管变得越来越困难,但我们并不像某些人想象的那样接近物理学的基本极限。
下一代芯片设计将包括更先进的材料、新的封装技术和更复杂的3D设计,所有这些都将创造未来的电子产品。
随着半导体特征尺寸的缩小,芯片的制造变得越来越复杂,但仍然需要以可接受的成本进行大规模生产。我们的整体光刻产品组合有助于优化生产,并通过集成光刻系统与计算建模,以及帮助客户提高产量的计量和检测解决方案,实现经济实惠的收缩。
我们的计算模型使我们的客户能够优化他们的掩模设计和出带时间(将最终设计发送给制造商进行生产所需的时间)。这通过掩模校正软件来准备和修改设计,以优化曝光,而计量和检测解决方案则帮助实时分析和控制制造过程。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 市场 续 | 战略报告 | 治理 | 金融类股 | 28 |
| 我们周围的世界(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
| | 重复这个过程,直到晶片被图案覆盖,完成晶片芯片的一层。为了制造整个微芯片,这个过程要一层接一层地重复,堆叠图案以创建集成电路(IC)。最简单的芯片大约有40层,而最复杂的芯片可能有150层以上。 要打印的特征尺寸因层而异,这意味着不同类型的光刻系统用于不同的层—我们最新一代EUV系统用于具有最小特征的最关键层,而我们的ArFi、ArF、KrF和i—line系统可用于具有较大特征的不太关键层。 | | | | | | | | |
光刻工艺 | | | | 工厂内部 | | 微芯片的制造涉及多个步骤序列,包括光刻以在光刻胶中创建图案,以及化学处理步骤,如沉积、光刻胶涂覆、离子注入和蚀刻,以在硅晶片上创建电子电路。 微芯片由厚度约为50—150纳米的层组成,这些层一层地构建在半导体衬底上。最先进的芯片需要EUV和DUV浸没式光刻工具来制造它们。更简单的微芯片,例如用于IoT应用的传感器,可以使用DUV干燥机生产。
| | 在沉积过程中添加新层的材料后,所需的图案暴露在其上,显影后留下精确定位在所需位置的线条和几何形状。然后蚀刻该层,使这些设计永久在晶片上。微芯片的整个制造过程—从开始到测试和封装器件,准备发货—可能需要18到26周,具体取决于芯片的复杂性。 | |
当你分解它时,光刻系统本质上就是一个投影系统。在我们的DUV系统中,光通过将被打印的图案蓝图(称为“掩模”或“网纹”)投射;在我们的EUV系统中,光通过掩模版反射。随着图案被编码在光中,系统的光学系统缩小并将图案聚焦到光敏硅晶片上。在印刷图案后,系统稍微移动晶圆并在晶圆上复制另一个副本。
| | | 半导体制造厂,通常被称为“晶圆厂”,是一个生产微芯片的工厂。工厂的核心是洁净室。所有的制造步骤都在这里进行,因此环境被控制以消除纳米级的灰尘。洁净室地板下面是“子工厂”,其中包含辅助设备,如驱动激光器。该实用工厂—包含真空和冷却的泵送和减排系统—通常位于此下方的一层。 | | | |
| | | | | |
| | | | | | |
| | | | |
| | | |
| | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 市场 续 | 战略报告 | 治理 | 金融类股 | 29 |
| 我们周围的世界(续) | | | | |
预测性医疗保健
对来自许多来源的健康数据进行预测性分析,结合机器学习和人工智能,有助于改善医疗服务和患者结果。
阅读第22页上的故事>
智能家居
智能家居设备,如恒温器、电灯和智能电视,可以学习用户的习惯,为日常任务提供自动支持。
可穿戴
可穿戴设备(如健身跟踪器、智能手表、智能戒指、珠宝或眼镜)能够
连接到互联网并持续监控、跟踪和传输个人数据。
阅读第149页上的故事>
自主机器人学
连接到更大网络并配备智能传感器的新一代轻型机器人使人类和机器能够并肩工作,
具有更高的安全性和效率。
能源转型
在全球从以化石为基础的能源生产和消费转向风能和太阳能等可再生能源的过程中,半导体发挥了关键的推动作用。
阅读我们第40页上的故事>
全球连接
5G实现了一种新型网络,旨在连接世界各地的几乎所有人和一切-包括机器、物体和设备。
混合现实
将增强现实和虚拟现实技术相结合(使物理和数字对象共存并实时交互)将把现实世界和数字元素结合在一起,创造下一级的用户体验。
阅读我们的
故事开始
第69页>
智慧城市
利用技术和数字网络整合交通和基础设施、互联互通、能源和照明等公共服务的智慧城市。
智慧产业
智能工业设备使用实时数据分析和机器对机器传感器来优化流程,以预见瓶颈并防止错误和伤害。
自动驾驶汽车
这些车辆实际上是轮子上的超级计算机,配备了先进的驾驶员辅助系统(ADA),由电子和半导体实现。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 小图案。巨大的影响。 | 战略报告 | 治理 | 金融类股 | 30 |
| | | | | | | | | | | |
| | | |
| | | |
| | 粮食安全 | |
| | 更低的成本,更高的产量 | |
| | 偏远地区的农田,特别是肯尼亚和埃塞俄比亚等新兴经济体的农田,可能极易受到气候变化的影响。随着微芯片变得更小、更便宜,世界各地获得移动设备的机会也在增加。农民现在正在使用智能手机获取重要的天气信息-旨在确保更好的作物和更大的粮食安全。 | |
| | 在线阅读更多 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们的业务及ESG策略 | 战略报告 | 治理 | 金融类股 | 31 |
| 专注于长期价值创造 | | | | |
| | |
我们的目标是通过将技术推向新的极限来释放人类和社会的潜力——我们的开创性技术能够解决人类面临的一些最严峻的挑战。我们的策略和优先事项旨在实现这些目标,并为我们的利益相关者创造价值。 |
我们为芯片制造商提供他们所需的一切——硬件、软件和服务——通过光刻技术在硅上大规模生产图案。我们的客户依赖我们的产品来将尖端技术带入生活。为了满足他们的需求,我们投资于未来。我们投资于研发,以创造能够提供最小功能和最高产量的芯片制造机器。
我们在世界各地投资工厂和设施,以满足客户对我们产品和服务日益增长的需求,这得益于先进和成熟半导体市场的强劲增长率、持续创新、更多的代工竞争和技术主权。我们计划在未来几年交付的机器数量将继续增长。
我们还投资于我们的员工队伍,这些员工为我们的价值观—挑战、协作和关怀赋予生命。他们来自100多个国家,共同努力,推进ASML的使命。我们的价值观促使我们投资于成为一个好邻居和全球公民。从支持我们的保护到最大限度地减少对环境的影响,我们的举措为长期可持续增长奠定了基础。
为了实现我们的未来愿景,我们需要与跨部门、部门和大洲的客户和供应商合作,在ASML和我们的生态系统中有效执行改进和流程,将我们的整体光刻解决方案推向市场。我们的投资者支持创新,推动我们的技术进步并创造价值。 我们的目标是带领半导体行业走向可持续和盈利的未来。.
| | | | | | | | | | | |
| 我们的核心战略是 |
| | | |
1. | | 发展我们的核心整体光刻业务 |
| | | |
2. | | 确保独特的供应链能力,确保业务连续性 |
| | | |
3. | | 向邻近的商业机会迈进 |
| | | | | | | | | | | |
| 4. | | 加强我们对ESG可持续性的关注 |
| | | |
| 目前重点放在五个优先事项上 |
| | | |
| | | 加强 客户信任 |
| | | |
| | | 整体性 光刻 |
| | | |
| | | DUV 竞争力 |
| | | |
| | | EUV.33 NA, 制造业 |
| | | |
| | | EUV.55 NA 插入 |
在强劲的客户需求推动下,我们预计我们的整体光刻业务在未来十年将有巨大的增长机会。我们将继续提高公司的能力,以满足这一需求,无论是成熟的还是先进的光刻系统,为周期性做好准备,同时与所有利益相关者公平地分担风险和回报。
根据不同的市场情况,我们认为2025年和2030年有机会实现以下目标:
–2025年:年收入约为300亿至400亿欧元,毛利率约为54%至56%
–2030年:年收入约为440亿至600亿欧元,毛利率约为56%至60%
为了实现这一显著增长,我们将专注于通过实现我们的技术路线图、解决我们的增长和执行挑战以及确保在DUV和计量和检测领域的竞争力来保护和获得市场份额。
半导体行业以令人难以置信的速度进行创新,以实现摩尔定律,生产出每两年能效提高三倍的微芯片。通过继续推进我们的硅衬底光刻和图案化控制解决方案,我们将提供持续缩小和减少边缘放置误差,这是我们客户在未来十年的半导体路线图所要求的。
我们的整体光刻方法集成了一系列产品,使芯片制造商能够开发、优化和控制半导体生产工艺。除了光刻系统,我们还为客户提供工艺控制解决方案,包括计算光刻、光学和电子束计量、高分辨率检测以及扫描仪和工艺控制软件解决方案。我们全面的产品组合符合客户的路线图,提供经济高效的解决方案,支持从前沿节点到成熟节点的所有应用。
我们的目标是通过提高未来光刻解决方案的简单性、可持续性、可维护性、可制造性和可扩展性来负责任地创新。通过从一开始就考虑新技术的成本和复杂性限制,我们可以高效地分配资源,并以经济高效的方式为客户提供新功能。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们的业务及ESG策略 续 | 战略报告 | 治理 | 金融类股 | 32 |
| 注重长期价值创造(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| 确保独特的供应链能力,确保业务连续性 | | | | 加强我们对ESG可持续性的关注 | | | | 我们的五大战略重点 | | | | 我们的ESG可持续发展战略 | |
| | | | | | | | | | | | | | | | | | | |
| | | | 我们相信,数字技术是帮助社会进步和应对环境挑战的最重要工具之一。在微芯片的支持下,这些技术正在推动数字化转型,帮助应对全球挑战,例如通过减少能源消耗和温室气体排放来应对气候变化。
我们认识到,技术的发展带来了新的问题需要解决,例如设备和数据中心的能源使用、增加的浪费和材料使用以及社会挑战。我们相信,我们的行业有一个很好的机会和道德义务来推动可持续增长。
我们致力于利用我们的创新,使半导体行业能够减少其足迹。我们的目标是帮助客户最大限度地减少生产先进微芯片所需的材料和能源。在我们自身的业务范围内,包括我们的供应链,我们也密切关注我们的社会和环境影响。 | | | | 通过持续执行我们的战略重点,我们旨在为客户提供具有成本效益的解决方案,使行业路线图延伸至未来十年,并支持我们对环境、社会和管治(ESG)目标的长期承诺。 | | | | 我们与持份者合作,以实现ESG可持续发展战略的目标,是我们的战略方针的核心: 环境 我们希望继续扩大计算能力,但将浪费、能源使用和排放降至最低。这就是为什么我们专注于能源效率、气候行动和循环经济。 社交 我们希望确保负责任的增长有利于我们所有的利益相关者——为所有人提供一个有吸引力的工作场所、负责任的供应链、推动我们生态系统的创新,并成为我们社区的重要合作伙伴。 治理 我们承诺履行我们的责任,并通过我们对综合治理、利益相关者参与和透明报告的关注,以我们开展业务的方式完全固定我们的责任。 我们的ESG可持续发展战略基于重要性评估,我们在评估中确定对公司最重要的影响。我们的目标是为我们的利益相关者创造长期价值,同时也为联合国的可持续发展目标(SDGs)做出贡献。 | |
| | | | | | | | | | | |
| 我们将继续专注于确保我们核心光刻业务的业务连续性,并控制未来独特的、支持路线图的技术。我们的供应链是我们发展核心业务的雄心壮志的关键推动因素。我们正在积极评估我们的供应基础,以预测需求并控制未来的路线图支持能力。 | | | | | | | | | | |
| | | | | | | | | 加强客户信任 | | | | DUV竞争力 | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | 增强我们的创新和卓越运营能力,按照我们的路线图按时、最高质量地推出新产品和交付系统,以满足客户的需求。通过部件的共性和重复使用提高我们对可持续性的关注,并推动我们产品的性能和能效的提高,以减少成本和浪费。 | | 继续我们的创新领先地位,通过推动DUV实现最高水平的性能,同时保持成本竞争力,实现客户路线图的执行。扩大我们的客户群并支持客户需求。 | | | | |
| | | | | | | | | | EUV.33 NA,用于制造 | | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | |
| 向邻近的商业机会迈进 | | | | | | | | 通过扩展面向未来节点的产品组合,确保大批量制造性能并增强EUV技术的价值。通过提高系统性能为我们的客户提高成本效益。 | | | | |
| | | | | | | | | | | |
| 除此之外,如果核心增长得到保障,我们可以进入代表更多增长机会的邻近地区。我们的目标是通过专注于整体晶体管扩展前沿的协同机会,通过利用产品和技术的协同效应,以及通过开发不同的未来半导体扩展引擎,来最好地服务于我们的客户。 | | | | | | | | | | | |
| | | | 在第70页上阅读更多信息>
| | | | | | 整体光刻 | | | | EUV.55 NA插入 | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | 在边缘放置、计量和控制方面建立制胜地位,以支持客户需求。将完整的产品组合集成到整体光刻解决方案中,以优化和控制光刻性能。 | | 在Logic和DRAM中插入EUV 0.55 NA(High-NA),以便从2025年开始大规模生产,通过简化逻辑和DRAM的图案化方案和降低缺陷密度来支持客户路线图。 | | | | |
| | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 我们的商业模式 | 战略报告 | 治理 | 金融类股 | 33 |
| | 我们需要什么来创造价值 | | | | |
| 我们资源的深度和广度以及 我们建立的关系是我们持续成功的关键。 | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| 人与文化 | | | | | | 制造业 | | | 的合作伙伴生态系统 | |
| | | | | | | | | | | | |
| | | | 我们有更多的39,000有才华、敬业和高度积极性的员工, 143国籍。我们的重点是招聘最优秀的人才,并为他们提供一个多元化和包容的环境:一个人们分享相同的价值观的工作场所,挑战,合作和关怀。我们的文化帮助我们作出明智的决策,使所有利益相关者受益,并为股东创造长期价值。 | | | 几乎 10,000在ASML工作的人 8在欧盟、美国和亚洲的生产基地。这些全球工厂提供了一个高精度、精益的环境,我们在这里组装、测试和交付我们复杂的光刻、计量和检测产品组合,从原型到最终产品。 | | | | | |
| | | | | 阅读更多关于第16页> | | | | | |
| | | 阅读更多内容,请参阅第36页和第97页> | | | | | | | | |
| | | | | | | | | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| 资本 | | | | 创新 | | | | | | 我们的光刻解决方案是强大的合作伙伴关系的结果,我们共享竞争和推动创新的激励。 | |
| | | | | | | | | | | | | | |
| 我们是一家长期企业,拥有雄厚的资本储备,并以稳健的资产负债表为支撑。截至2022年底的股东权益总额为88亿欧元在综合资产负债表上合计363亿欧元和由以下经营活动提供的现金净额85亿欧元在2022年。这一财务实力使我们能够保持对设备的投资和持续的开发,以 实现我们的雄心壮志 增长议程。 | | | | 我们制造世界上最先进的光刻系统。之所以实现这一点,是因为创新是我们不断追求突破技术边界的过程。我们花了33亿欧元2022年在研发上,但我们的创新并不是孤立的。相反,它是与价值链中的关键合作伙伴和我们的14,000研发人员。 | | | | | | | 顾客 | 研究伙伴 | |
| | | | | | | | | –致力于未来的技术 –批量生产的合格技术 –驱动生态系统 | –提供持续的研究活动 –共同发展专业知识 | |
| | | | | | | | | 供应商 | 同行 | |
| | | | | | | | | –安全供应链创新 –将投资和资源用于技术 | –交付关键材料 –提供关键数据 –交付新的所需流程 | |
| | | | | | | | | | |
| | | | | | | | | |
| |
| 阅读更多关于第218页> | | | | 阅读更多关于第118页> | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 我们的商业模式 续 | 战略报告 | 治理 | 金融类股 | 34 |
| | 在晶圆厂内创造价值 | | | | |
| 我们是芯片制造过程的关键部分, 如果没有, 价值链上的其他关键合作伙伴。 | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | |
1.书面供词 | | 2.光致抗蚀剂涂层 | | 3.平版印刷 | | 4.烘焙和显影 | | 5.蚀刻 | | 6.离子注入 | | 7.去除光刻胶 |
第一步通常是在硅片上沉积半导体材料的薄膜。 | | 然后在晶片上涂上一层被称为光致抗蚀剂的光敏层。 | | 光通过刻线投射到晶片上。光学元件收缩并聚焦于掩模图案。然后,当抗蚀剂层暴露在光中时,该图案被印刷到晶片上。 | | 晶片然后被烘焙和显影,以使新的图案永久存在,具有开放空间的新图案。 | | 气体等材料被用来从空地上蚀刻出材料,留下3D版本的图案。 | | 可以用正离子或负离子轰击晶片以调整半导体性能。 | | 在该层被电离之后,保护不被蚀刻区域的光致抗蚀剂涂层的剩余部分被去除。 |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 我们的商业模式续 | 战略报告 | 治理 | 金融类股 | 35 |
| | 用我们的整体方法创造价值 | | | | |
| 我们的整体方法基于计算光刻、光刻系统和计量和检测的智能集成。 在大批量生产期间,通过优化系统工艺窗口的设置和控制,实现了收缩—提高了生产效率, 我们的光刻系统的可用性,减少停机时间和整体成本,并为我们的客户优化产量。 | | |
| | | | | | | | | | | |
| | | |
| 计算光刻 | | 计量和检验 |
| | | |
| 计算光刻用于预测和增强光刻系统的工艺窗口,通过计算最佳设置,这取决于具体应用。这发生在光刻系统进入大批量生产之前的研发阶段。 | | 我们拥有一套工具—光学和电子束测量、高分辨率检测和扫描仪以及过程控制软件解决方案—可控制过程窗口,并帮助确保光刻系统在工厂环境中以最佳方式运行。光刻是唯一的方法,在线调整可以优化性能作为制造过程的一部分。
|
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 我们的商业模式续 | 战略报告 | 治理 | 金融类股 | 36 |
| | 我们为利益相关者创造的价值 | | | | |
| 我们的成功有赖于与所有利益相关者建立牢固、可持续的关系 在价值链中。我们的目标是为他们创造可持续的价值,并使用 他们为发展我们的战略、产品和服务所提供的投入。 | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| 股东 | | | | 顾客 | | | | 供应商 | | | | | 员工 | | | | 社会 | |
| 我们在研发方面的巨大和持续的投资帮助我们执行我们的业务战略,并使我们能够保持我们在整体光刻领域的领先地位。我们的创新有助于半导体行业的长期增长,这有助于我们通过股票回购和分红的方式实现稳健的财务业绩和现金回报政策。 | | | | 我们投资于创新,使我们世界领先的光刻系统能够继续缩小微芯片。凭借EUV 0.33 NA和下一代EUV 0.55 NA平台,我们追求摩尔定律的延续。这使我们的客户能够为新的应用和设备开发更强大的芯片。与此同时,我们帮助我们的客户降低成本,减少他们的环境足迹。 | | | | 我们与我们的战略合作伙伴一起创新,分享知识,利用彼此的技术专长,推动更高水平的复杂性和能力。
我们以可持续和负责任的方式开展业务,与供应商的长期关系、密切合作和透明度是我们成功的关键。 | | | | | ASML是一家成长型企业,在世界各地提供就业机会。我们的总部设在荷兰的维尔德霍温,我们是社区的主要雇主。
我们投资于人们的职业发展和福祉,并提供一个多样化和包容性的环境,让人们能够充分发挥他们的潜力。这导致员工敬业度得分高,员工流失率低。 | | | | 我们不断的创新使新技术能够支持半导体行业的增长和转型,以帮助满足社会需求。作为全球技术领导者和雇主,我们在我们运营的当地社区发挥着积极的作用。我们的协作生态系统培育创新,造福社会。例如,我们与大学和 | | | | 研究机构,支持年轻的科技公司,并在全球推广STEM教育。我们还开发突破性的技术,以最大限度地减少我们自己的环境足迹。我们通过寻求最大限度地减少浪费和最大化我们所使用的材料的价值来做到这一点,并执行我们的碳足迹战略和产品能效战略。 | |
| 46亿欧元 | | | | 212亿欧元 | | | | 124亿欧元 | | | | | 78% | | | | €11.5m | | | | 87% | |
| 股票回购 | | | | 总净销售额 | | | | 采购总支出 | | | | | 员工敬业度得分 | | | | 社区投资 | | | | 从现场和工厂返回的部件的再利用率 | |
| | | | | | | | | | | | | | | | | | | | | | | |
| €5.80 | | | | 345 | | | | 5,000 | | | | | 6.0% | | | | €14.7m | | | | 38.1 KT | |
| 建议每股年化股息 | | | | 出售的光刻系统 | | | | 供应商数目(四舍五入) | | | | | 减员率 | | | | 对欧盟的贡献 研究项目 | | | | 制造业和建筑物的排放(范围1 + 2) | |
| | | | | | | | | | | | | | | | | | | | | | |
| €14.14 | | | | #2 | | | | | | | | | 24% | | | | 95% | | | | 11.9 Mt | |
| 每股收益 | | | | TechInsights十大最佳大型芯片制造设备供应商客户满意度排行榜 | | | | | | | | 性别多样性—女性流入的百分比 | | | | 过去30年销售的系统中仍在现场使用的百分比 | | | | 总价值链的间接排放(范围3) | |
| | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们的商业模式续 | 战略报告 | 治理 | 金融类股 | 37 |
| 与利益攸关方接触 | | | | |
| | | | | | | | |
| | |
我们制定重要性评估的基础是: GRI,其中包括利益相关者参与的原则,我们确定要讨论的关键议题 与相关利益相关者群体。 |
| | |
阅读更多关于第71页> | | |
| | |
我们认为我们的利益相关者属于五个群体:股东、客户、员工、供应商和社会。这些团体可能会影响或受我们业务的影响,我们接受持续的开放对话和知识共享,以造福各方。 | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| | 该小组由现有股东、潜在主动和被动投资者、财务和ESG分析师组成。我们的目标是帮助他们了解我们的(长期)投资机会。我们与他们沟通我们的财务增长策略和机遇、财务表现和前景、股东回报以及我们的可持续发展策略。 | |
股东 | | |
| | | | | | |
| | | 我们如何参与 | |
| | –与投资者关系部门直接互动(例如电话、ESG绩效调查、电子邮件交流、现场访问—在ASML和/或投资者) –年度股东大会 –投资者日 –公司季度业绩简报及新闻稿 –各种投资者会议和路演 –各种可持续性问卷、评估和调查反馈 | |
| | |
| | 主要议题 | |
| | —财务结果 —现金返还 —市场前景 —产品和终端市场 –客户采用 —地缘政治 —业务概要 —公司路线图和产品组合 —ESG目标和结果:人力资本开发、碳足迹、废物、回收、能源消耗、供应链社会责任 —董事会成员多元化及薪酬 | |
| | | | | |
| | | |
| | | | | |
| | | | | |
| | | | | |
| | | | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们的商业模式续 | 战略报告 | 治理 | 金融类股 | 38 |
| 与利益攸关方接触(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| | 我们是领先的芯片制造设备的制造商。我们使客户能够创建定义芯片上电子电路的图案。我们的客户是世界领先的微芯片制造商,我们的成功与他们的成功密不可分。 | |
顾客 | | | | | | |
| | | | | | | |
| | | 我们如何参与 | |
| | –客户反馈调查 –通过客户团队和区域质量经理直接互动 –客户之声会议 –技术审查会议(由我们的首席技术官、产品经理、其他管理人员和我们的主要客户举行) –行政审查会议(ASML行政人员与主要客户之间) –不同的技术研讨会和特别活动 | |
| | 主要议题 | |
| | –产品和技术 –客户路线图 –创新 –客户支持、拥有成本和质量 –ESG:能源效率,将ESG可持续性纳入战略和路线图,减少废物和材料再利用,以及安全意识和行为 | |
| | | | |
| | | |
| | 我们的客户是世界领先的微芯片制造商。 | |
| | | |
| | | | |
| | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| | 我们希望提供一个统一的方向,并将ASML的身份定位在组织深处。为此,我们的目标是帮助人们接受我们的价值观,熟悉我们的战略和宗旨,并坚持我们的行为准则原则。员工敬业度对公司的成功至关重要,雇主品牌使我们能够吸引人才。我们致力于良好的劳动实践和尊重人权。 | |
员工 | |
| | | | | | | |
| 我们如何参与 | | | |
| –员工敬业度调查 –培训和发展计划,包括员工评估/反馈 –ASML的直言不讳服务 –劳资关系局 —员工网络,如Next、Women/WAVES、Senior、Parents、Veterans、Green ASML、Atypical、SHADES和Proud –内部沟通和意识(如内部网、道德操守计划、部门员工会议、与董事会成员共进午餐) –面向新员工的入职计划 –全体员工会议和高级管理人员会议 | | |
| | |
| | |
| | |
| | |
| | |
| | |
| 主要议题 | | |
| –培训和发展 –行为/道德守则 –战略 –多样性和包容性 –劳动条件 –活力 –人权 –可持续性目标和绩效 | | |
| | | | | |
| | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 我们的商业模式续 | 战略报告 | 治理 | 金融类股 | 39 |
| 与利益攸关方接触(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| | 我们非常依赖我们的供应商网络来实现我们努力追求的创新。我们的目标是确保我们获得所需的产品、材料和服务,以满足我们的短期和长期需求。为此,我们投资发展我们的供应格局,以帮助供应商满足我们在质量、物流、技术、成本和可持续性方面的要求。我们致力于建立负责任和可持续的供应链。 | |
供应商 | |
| | | | | | |
| 我们如何参与 | | 主要议题 | |
| –ASML供应商日 –通过供应商客户团队/采购客户经理进行直接互动 –供应商审核 –实地考察 –通讯 –RBA自我评估问卷(SAQ) –ASML的直言不讳服务 | | –产品和技术 –质量、物流、技术、总成本和可持续性(QLTCS) –供应商绩效和风险管理 –IP/信息安全 –业务连续性 –澳大利亚商业银行合规性(道德、劳动实践、健康和安全以及环境) –稀缺的(自然)资源、3TG、危险物质等。 –循环性(重复使用、回收、翻新) –范围3碳足迹 | |
| | | | | |
| | | | |
| | | | |
| | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| | 我们致力于以负责任和关怀的方式开展业务,为我们的员工和我们经营的更广泛的社区服务。作为一家全球技术领导者和雇主,我们在我们运营的当地社区发挥着积极的作用。我们还开发突破性的技术,以最大限度地减少我们自己的环境足迹。我们通过寻求最大限度地减少浪费和最大化我们使用的材料的价值,以及执行我们的碳足迹战略和产品能效战略来做到这一点。 | |
社会 | | |
| | | | | | | |
| | |
| | | | | | | |
| 我们如何参与 | | 主要议题 | |
| 与行业工会和协会合作 –会员大会和技术论坛 –成员就标准进行谘询 –埃因霍温智囊团 与政府和当局 –与税务机关对话 –相关的欧盟圆桌会议讨论 –合规性报告 –与政府、当局和市政当局积极对话 与社区、大学、媒体、非政府组织和其他机构 –网站www.example.com –社区参与方案和活动 –年轻的高科技社区(HighTechXL、Make Next Platform、埃因霍温创业联盟) –公司参观 –新闻稿、采访、电话预约和会议 | | –员工发展 –慈善、赞助和捐赠 –创新合作 –加强行业、社会和我们运营的地方的创新 –社会和环境责任 –促进科学、技术、工程和数学(STEM)教育 –地方性发展 | |
| | | | |
| | | | |
| | | | |
| | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 小图案。巨大的影响。 | 战略报告 | 治理 | 金融类股 | 40 |
| | | | | | | | | | | |
| | | |
| | | |
| | 能源转型 | |
| | 微小的联系,巨大的影响 | |
| | 向可再生能源的转变有助于提供世界应对气候变化所需的清洁、负担得起的能源。半导体是这一转变的核心——利用、转换、传输和存储能量为电力,并确保国家电网既响应又强大。 | |
| | 在线阅读更多 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 与CFO的问答 | 战略报告 | 治理 | 金融类股 | 41 |
| 强劲需求推动卓越业绩 | | | |
| 与我们的执行副总裁总裁和首席财务官交谈 | | | | |
| 罗杰·达森 | | | | |
从财务角度来看,ASML在2022年的表现如何?
这是ASML表现出色的一年,净销售额达到创纪录的212亿欧元,比2021年增加了26亿欧元。
我们的毛利增加,主要是由于DUV销量增加、NXE:3600D价值主张以及我们的装机基础业务的持续增长。整体毛利占总销售净额的百分比由二零二一年的52. 7%下降至二零二二年的50. 5%,原因是快速出货、目前与材料、运费及劳工增加有关的强劲通胀影响以及增加生产及跟上客户需求所需的工厂成本增加。此外,还发生了因筹备高NA而产生的费用。
我们强劲的净收入及持续的营运资金改善措施,于2022年由经营活动提供的现金净额为85亿欧元。这使我们能够通过股息和股票回购计划向股东返还现金。
2022年,我们以46亿欧元的总代价回购了股票,并支付了总计26亿欧元的股息。
这些增长的主要驱动力是什么?
我们的Logic系统客户再次看到了对先进和成熟节点的强劲需求,以支持正在进行的数字化转型,其中包括5G、人工智能、虚拟现实、游戏、仿真和可视化应用等长期增长驱动力,以及智能云和边缘,这些将成为不断增长的数字化基础设施不可或缺的一部分。内存系统销售额的增长是由终端市场对服务器的持续强劲需求推动的。
| | |
|
|
我们正在提高EUV的输出能力 也是DUV”。 |
罗杰·达森 |
常务副总裁兼首席财务官 |
我们服务和现场选项销售额的增长主要是由于客户安装基础的持续扩大,这导致了服务销售额的增加,以支持我们的系统在系统生命周期内用于持续运营。
这一年的主要挑战是什么?
正如我们的首席执行官彼得·温宁克在他的信息中解释的那样,我们满足客户需求的能力在2022年受到了几个问题的影响,包括乌克兰战争和新冠肺炎的余波。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 与CFO的问答 续 | 战略报告 | 治理 | 金融类股 | 42 |
| 强劲的需求推动了出色的业绩(续) | | | |
| 与我们的执行副总裁总裁和首席财务官交谈 | | | | |
| 罗杰·达森 | | | | | |
我们正在努力跟上客户的需求,例如,通过缩短我们整个产品组合的制造周期,并通过与我们的供应链合作,提高我们对EUV和DUV的输出能力。为了解决材料短缺的问题,我们正在与供应链合作伙伴一起大幅扩大产能,尽管这些短缺已经导致许多系统的组装工作开始得较晚。由于我们的工具需求量很大,我们的客户经常要求快速发货。快速的装运过程跳过了我们工厂的一些测试。然后在客户现场进行最终测试和正式验收。这导致对这些发货量的收入确认推迟到客户正式接受,但确实为我们的客户提供了更早获得晶片产能的机会。
此外,我想强调的是,安全是我们业务的核心。虽然我们没有遇到任何与ASML工作有关的死亡事件,但令人遗憾的是,两名合同工于2022年在威尔顿的ASML办公场所发生了致命事故。我们正在尽我们所能将这种风险降至最低,并在各级积极努力,以履行我们的使命,确保无伤害和健康的工作条件。
当前的金融不确定性是否会影响资本投资计划?
尽管目前的宏观环境带来了不确定性,但我们的产品继续供不应求,我们仍致力于我们的资本投资计划。
虽然我们的目标是全面满足需求,但我们同时也在为周期性做准备。我们正在寻求及时和可持续地投资于额外的产能,同时嵌入灵活性,以便我们不仅可以快速增长,而且可以在下行周期中快速调整。
此外,我们将继续作出所需的投资,以提高产能,以预期本行业的中长期增长。半导体应用空间的扩大和长期趋势正在推动结构性需求。我们需要提高产能,并计划进一步增加EUV和DUV出货量,以支持客户的生产力路线图。
你在财务组织转型的项目中取得了什么进展?
我们正以前所未有的速度经历增长,这为财务组织提供支持的业务需求不断增加。为了建立我们的公司和员工的未来成功,我们对我们的财务组织的现状进行了快照,并为我们的未来制定了愿景。
我们的愿景是提供坚实的基础和一流的集成解决方案。为了嵌入新的愿景和工作方式,我们的组织目前正在执行多个项目,通过使用新的数字化工具和机器人来改进、自动化和持续监控其端到端流程。
| | | | | | | | |
| | |
| | 我们正在以前所未有的速度经历增长”。 |
|
| 罗杰·达森 |
| 常务副总裁兼首席财务官 |
| |
从财务角度来看,ASML的前景如何?
目前的半导体市场显然存在很多不确定性,原因是通胀、消费者信心下降以及经济衰退的真正可能性。正如我们过去所显示的,在这样的环境下,我们需要保持供应链、员工队伍和制造能力的灵活性。我们的目标是调整我们的能力以满足未来需求,为周期性做好准备,同时与所有持份者公平分担风险和回报。这也意味着我们需要及时和可持续地投资于额外的能力,以规划满足需求。显然,这些投资可能会给明年的毛利率带来压力,但如果我们想保持公司的长期增长态势,这些投资是不可避免的。
| | | | | |
| 26亿欧元 |
| 净销售额增加 |
| |
| 50.5% |
| 毛利率 |
| |
| 46亿欧元 |
| 回购股份 |
| |
| 26亿欧元 |
| 已支付的股息 |
| |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 与CFO的问答 续 | 战略报告 | 治理 | 金融类股 | 43 |
| 强劲的需求推动了出色的业绩(续) | | | |
| 与我们的执行副总裁总裁和首席财务官交谈 | | | | |
| 罗杰·达森 | | | | | |
短期内,对经济衰退的担忧可能会影响半导体需求。我们开始看到各个细分市场的需求动态出现分歧,一些客户以较低的利用率运行我们的系统,而其他客户则调整需求的预期时机,以应对近期的不确定性。然而,我们的绝大多数客户仍要求尽快发货他们的光刻系统。这是由于这些投资的战略性质,以支持技术转型,需要时间才能实现晶圆产量的产能增加,以及各国政府为追求技术主权而进行的全球投资。
目前与材料、运费及劳工成本有关的强劲通胀影响影响我们的供应商,并对我们的利润率构成压力。总的来说,客户理解我们分担这些额外成本增加的要求,因此我们预计在2023年期间将获得合理水平的通胀补偿。
劳动力市场上高技能人才的稀缺也导致了成本的上升。为了保持我们的快速创新步伐并确保我们作为一家公司的长期成功,我们需要吸引和留住最优秀的人才—这就需要在我们的招聘活动以及提供机会和环境方面进行大量投资,让员工能够发展他们的才能,感到尊重和茁壮成长。
地缘政治的不确定性仍在继续。新闻报道显示,美国、荷兰和日本已采取措施进一步限制向中国出口半导体制造设备。这将涵盖先进的光刻工具以及其他类型的设备。该协议的条款尚未公开披露,目前仍为保密。我们预计,各国政府将需要数月的时间来制定和颁布新的规则。在这些规则最终确定的同时,ASML将继续与当局接触,讨论任何拟议的法规的潜在影响,以确保对全球半导体供应链的影响得到适当评估。考虑到时间表和当前市场状况,我们预计这些措施不会对我们对2023年的预期产生重大影响。
虽然当前的宏观环境造成了短期的不确定性,但我们预计长期需求和产能将在不断扩大的应用空间和不懈创新的推动下产生健康增长。
总之,我相信ASML在未来有能力提供更多的唱片表演— 为股东提供强劲的现金回报,与我们的合作伙伴和供应商合作,支持我们的员工,并使我们的客户能够生产将继续对我们地球的未来产生重大影响的技术。
| | |
|
|
ASML有能力在未来提供更多的唱片表演。" |
罗杰·达森 |
常务副总裁兼首席财务官 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 财务业绩 | 战略报告 | 治理 | 金融类股 | 44 |
| 绩效KPI | | | | |
| | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
销售额 | | | 盈利能力 | | | 流动性 | |
总净销售额 | | | 毛利 | 占总净销售额的百分比 | | 现金和现金等价物(年终) | |
212亿欧元 | | | 107亿欧元 | 50.5% | | 73亿欧元 | |
2021年:186亿欧元 | | | 2021年:98亿欧元 | 52.7% | | 2021年:70亿欧元 | |
系统净销售额 | | | 营业收入 | | | 短期投资(年终) | |
154亿欧元 | | | 65亿欧元 | 30.7% | | 1亿欧元 | |
2021年:137亿欧元 | | | 2021年:68亿欧元 | 36.3% | | 2021年:6亿欧元 | |
净服务和现场选项销售 | | | 净收入 | | | 经营活动提供的净现金 |
57亿欧元 | | | 56亿欧元 | 26.6% | | 85亿欧元 | |
2021年:50亿欧元 | | | 2021年:59亿欧元 | 31.6% | | 2021年:108亿欧元 | |
光刻系统的销售量(单位)1 | | 每股收益 | | | 自由现金流2 |
345 | | | €14.14 | | | 72亿欧元 | |
2021: 309 | | | 2021: €14.36 | | | 2021年:99亿欧元 | |
认可的浸没系统(单位) | | | | | | |
81 | | | | | | | |
2021: 81 | | | 1.光刻系统不包括计量和检测系统。 |
已识别的EUV系统(单位) | | 2.自由现金流是一种非公认会计原则的衡量标准,定义为经营活动提供的净现金。(2022年:84.868亿欧元及2021年:108.458亿欧元)减购置物业、厂房及设备(二零二二年:12.818亿欧元及二零二一年:9.007亿欧元)及购买无形资产(二零二二年:3750万欧元及二零二一年:3960万欧元)。我们相信自由现金流是我们投资者的重要流动性指标,反映了可用于收购、偿还债务以及通过股息和股份回购向股东返还资金的现金。购买物业、厂房及设备以及购买无形资产在计算自由现金流量时从经营活动提供的现金净额中扣除,原因是该等付款对支持我们资产的维护及投资以维持现有资产基础是必要的。 |
40 | | |
2021: 42 | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 财务业绩续 | 战略报告 | 治理 | 金融类股 | 45 |
| 绩效KPI(续) | | | | |
| | | | | |
| | | | | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | %1 | 2022 | %1 | 更改百分比 |
系统净销售额 | 13,652.8 | | 73.4 | | 15,430.3 | | 72.9 | | 13.0 | |
净服务和现场选项销售 | 4,958.2 | | 26.6 | | 5,743.1 | | 27.1 | | 15.8 | |
总净销售额 | 18,611.0 | | 100.0 | | 21,173.4 | | 100.0 | | 13.8 | |
| | | | | |
系统销售成本 | (6,482.9) | | (34.8) | | (7,582.3) | | (35.8) | | 17.0 | |
服务成本和现场选项销售 | (2,319.1) | | (12.5) | | (2,891.0) | | (13.7) | | 24.7 | |
销售总成本 | (8,802.0) | | (47.3) | | (10,473.3) | | (49.5) | | 19.0 | |
| | | | | |
毛利 | 9,809.0 | | 52.7 | | 10,700.1 | | 50.5 | | 9.1 | |
| | | | | |
研发成本 | (2,547.0) | | (13.7) | | (3,253.5) | | (15.4) | | 27.7 | |
销售、一般和行政费用 | (725.6) | | (3.9) | | (945.9) | | (4.5) | | 30.4 | |
其他收入 | 213.7 | | 1.1 | | — | | — | | (100.0) | |
营业收入 | 6,750.1 | | 36.3 | | 6,500.7 | | 30.7 | | (3.7) | |
| | | | | |
利息和其他,净额 | (44.6) | | (0.2) | | (44.6) | | (0.2) | | — | |
所得税前收入 | 6,705.5 | | 36.0 | | 6,456.1 | | 30.5 | | (3.7) | |
| | | | | |
所得税费用 | (1,021.4) | | (5.5) | | (969.9) | | (4.6) | | (5.0) | |
所得税后所得 | 5,684.1 | | 30.5 | | 5,486.2 | | 25.9 | | (3.5) | |
| | | | | |
权益法投资的利润 | 199.1 | | 1.1 | | 138.0 | | 0.7 | | (30.7) | |
净收入 | 5,883.2 | | 31.6 | | 5,624.2 | | 26.6 | | (4.4) | |
1.占总净销售额的百分比。
| | |
有关ASML截至2021年12月31日止年度的经营业绩与截至2020年12月31日止年度的比较,请参阅ASML表格20—F的年度报告中的2021年业绩—财务—财务业绩—2021年经营业绩与2020年经营业绩对比截至的年度2021年12月31日。 根据美国公认会计原则编制综合财务报表要求管理层作出估计及假设。有关重要会计估计的详细资料,请参阅综合财务报表附注1一般资料╱一般会计政策概要。 |
净销售额和毛利润合计
2022年我们再创纪录,总净销售额增加25. 624亿欧元,增幅为13. 8%,反映系统净销售额增加13. 0%,服务及现场期权净销售额增加15. 8%。
| | |
收入增长来自每个逻辑 内存市场和我们的安装基础 |
(单位:百万) |
我们看到逻辑和内存市场的强劲需求。存储器系统销售得益于终端市场对服务器的持续强劲需求,而Logic系统销售方面,我们看到了对先进和成熟节点的强劲需求,以支持数字化转型(5G、人工智能、VR、智能云解决方案以及仿真和可视化应用)。
2022年的全球芯片短缺被证明是服务和现场选项销售的加速器。我们的生产力增强套件使我们的客户能够有效地提高晶圆产能。
比上年增加
| | |
13.8% |
净销售额 |
|
13.0% |
系统净销售额 |
|
15.8% |
净服务和现场选项销售 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 财务业绩续 | 战略报告 | 治理 | 金融类股 | 46 |
| 绩效KPI(续) | | | | |
| | | | | |
总净销售额的增长是由我们的客户对所有技术的需求强劲增长所推动的。我们的EUV销售额因NXE:3600D系统价值主张而增加,DUV销售额也随之增加,以跟上持续数字化转型和芯片短缺所带动的客户需求。2022年,我们确认了40个EUV系统(全部为NXE:3600D)的收入,而2021年则为42个EUV系统(16个NXE:3400及26个NXE:3600D)。我们的DUV技术的系统销量由二零二一年的267台增加至二零二二年的305台。
除了EUV和DUV系统销售额的增长外,净服务和现场选项销售额也是我们净销售额整体增长的关键推动力。该增长主要由于客户的安装基础持续扩大而导致服务销售增加所致。EUV将继续为净服务和现场选项销售做出贡献,因为我们的安装基础持续增长,我们的客户继续在大批量生产中运行更多EUV系统。
由于销售额的增加,毛利增加了。这主要是由于DUV的音量增加和NXE:3600D系统的价值主张。毛利率从2021年的52.7%降至2022年的50.5%。快速发货、与增加成本(材料、劳务运费)和增加成本(增加工厂成本)相关的强烈通胀效应以及高净值投资对毛利率产生了负面影响。
研发成本是32.535亿欧元2022年与2021年的25.47亿欧元相比。这一增长在我们的每个EUV、DUV和支持我们整体光刻解决方案的应用程序中都有所增加,其中最重要的努力是朝着我们继续加强EUV大批量制造的路线图以及我们的开发EUV 0.55 nA(高nA)的开发。2022年,研发活动主要涉及:
–继续投资于EUV大批量制造,完成NXE:3600D的开发,投资NXE:3800E的开发和发货,并进一步提高我们已安装基础系统的可用性和生产率。此外,我们的路线图还包括High-NA,即我们的EUV 0.55 NA系统,以支持我们的客户使用逻辑和DRAM的未来节点.
–我们最新一代的浸渍系统NXT:2100i适用于最关键的DUV层,干燥系统NXT:870的推出,为KRF市场带来了突破性的生产力。2023年出货的下一代扫描仪的持续开发包括NXT:1980Fi和XT:400M,分别提高了中临界层和I-Line层的生产率。此外,我们正在提供生产力套餐,并引入新的基于价值的服务模式,以提高客户安装基础上的“每天优质晶圆”。
–继续投资于单光束检测、电子束计量和光学计量(YeldStar ADI和IDM解决方案)。此外,确保我们的多波束检测路线图,并不断扩大我们在整体软件应用领域的投资。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 财务业绩续 | 战略报告 | 治理 | 金融类股 | 47 |
| 绩效KPI(续) | | | | |
| | | | | |
SG&A成本增额通过30.4%从2021年到2022年, 由于员工数量的增加,工资的增加,以及数字化和网络安全的投资。
实际税率 减少至15.0%2022年,2021年为15.2%。较低税率主要是由于在提交最终纳税申报表后调整过往年度的估计税务状况所致。
2022年净收入为56.242亿欧元,占总人数的26.6%净销售额NTT欧元14.14基本版每股普通股的净收益,而2021年的净收益为58.832亿欧元,占总净销售额的31.6%,相当于每股普通股的基本净收益14.36欧元。减少的主要原因是研发和SG&A成本增加,权益法投资的利润下降,以及2021年的一次性净收入2.137亿欧元与撤资有关的柏林玻璃(ASML柏林GmbH)非平版印刷业务。这部分被较高的毛利润和较低的股票数量所抵消。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 财务业绩续 | 战略报告 | 治理 | 金融类股 | 48 |
| 绩效KPI(续) | | | | |
| | | | | |
现金流分析
我们继续对我们的下一代技术进行大量投资,以确保未来的增长机会,这需要在净营运资本、资本支出和研发方面进行大量现金投资。
我们还继续努力通过股票回购计划和不断增加的股息向股东返还现金。我们向股东返还了创纪录的股息。
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | 2022 |
期初现金及现金等价物 | 6,049.4 | | 6,951.8 | |
| | |
经营活动提供(用于)的现金净额 | 10,845.8 | | 8,486.8 | |
投资活动提供(用于)的现金净额 | (72.0) | | (1,028.9) | |
融资活动提供(用于)的现金净额 | (9,891.7) | | (7,138.3) | |
汇率变动对现金的影响 | 20.3 | | (3.1) | |
现金及现金等价物净增(减) | 902.4 | | 316.5 | |
| | |
期末现金和现金等价物 | 6,951.8 | | 7,268.3 | |
短期投资,期末 | 638.5 | | 107.7 | |
现金和现金等价物及短期投资 | 7,590.3 | | 7,376.0 | |
| | |
购置不动产、厂房和设备及无形资产 | (940.3) | | (1,319.3) | |
| | |
自由现金流1 | 9,905.5 | | 7,167.5 | |
1.自由现金流是一种非公认会计原则的衡量标准,定义为经营活动提供的净现金。(2022年:84.868亿欧元及2021年:108.458亿欧元)减购置物业、厂房及设备(二零二二年:12.818亿欧元及二零二一年:9.007亿欧元)及购买无形资产(二零二二年:3750万欧元及二零二一年:3960万欧元)。
经营活动提供(用于)的现金净额
经营活动提供的现金净额较二零二一年减少24亿欧元,主要是由于净收入减少3亿欧元及存货增加,以应付未来增长,以促进客户不断增长的需求。
投资活动提供(用于)的现金净额
投资活动所用现金净额较二零二一年增加10亿欧元,主要是由于我们持续的资本支出现金投资增加4亿欧元,以及向关联方发放的2亿欧元贷款,以及短期投资净购买和到期日减少1亿欧元。此外,于二零二一年,我们出售附属公司所得款项净额为3亿欧元,二零二二年并无所得款项。
融资活动提供(用于)的现金净额
融资活动所用现金净额较二零二一年减少28亿欧元,主要是由于通过股份回购计划购买的股份减少(39亿欧元),但被股息增加(12亿欧元)所抵销。于2022年,我们发行票据所得款项净额为5亿欧元,并就先前发行到期票据偿还5亿欧元,二零二一年并无发行票据或偿还票据。
截至2022年12月31日,管理层已确定ASML有足够的资本满足公司目前的需求。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 财务业绩续 | 战略报告 | 治理 | 金融类股 | 49 |
| 长期增长机会 | | | | |
| | | | | |
趋势信息
尽管市场存在不确定性,但我们预计2023年将继续增长,预计净销售额增长超过25%。预期的增长是由所有技术销售额的增加以及我们安装基础业务的增长推动的。围绕创新和拓展新市场的行业势头进一步增强了我们对2023年前景和2025年增长情景的信心。
客户采用了EUV,随着客户对EUV的信心不断增强,这将转化为他们的下一个节点中更多的层,用于逻辑生产以及在内存中的采用。我们预计2023年EUV系统的出货量将达到60台,预计销售额将增长约40%。
在我们的DUV和应用业务中,我们预计浸入式和干式系统的增长,以及对计量和检测系统的持续需求。对于DUV,我们计划在2023年发货375套系统,其中约25%将是沉浸式系统。对于非EUV系统,我们预计销售额增长约30%。
对于客户群管理业务,我们预计收入同比增长约5%。随着我们在2022年结束强劲增长的一年,我们预计随着客户调整利用率,我们的升级业务需求将略有下降。
我们对2023年第一季度的预期和指引可以概括为:
–总净销售额在61亿欧元至65亿欧元之间
–毛利率在49%至50%之间
–研发成本约为9.65亿欧元
–SG&A成本约为2.85亿欧元
–年化有效税率在15%至16%之间
上述趋势受到风险和不确定因素的影响。
阅读更多内容:
前瞻性陈述。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 财务业绩续 | 战略报告 | 治理 | 金融类股 | 50 |
| 长期增长机会(续) | | | | |
| | | | | |
展望2025和2030
这个十年是关于分布式计算的,使云更接近边缘设备。通过连接,我们所有人都可以在“设备上”使用计算能力,从而实现互联世界。电子行业的这些全球大趋势,在高利润和激烈创新的生态系统的支持下,预计将继续推动整个半导体市场的增长。这转化为先进和成熟节点的晶圆需求增加。
全球各国对技术主权的持续推动预计将推动资本密集度的增加。这意味着该行业预计将在晶圆产能上进行重大投资,并增加光刻技术的支出。预计汽车、数据中心、工业和消费电子等半导体终端市场将增长,我们预计到2030年,整个半导体市场将同比增长约9%,基于EUV组合的增加,推动我们业务的强劲增长,而对DUV的需求预计将在所有波长上增长。为实现这一目标,我们和供应链合作伙伴正在积极增加和改善产能,以满足未来客户需求。
在2022年11月的投资者日(又称资本市场日(CMD)上,我们提出了2025年和2030年向上修订的长期增长机会。自二零二一年更新以来,由于终端市场技术增长驱动力、技术主权及代工竞争项目的快速演变,我们在高低两个市场重塑了以往的销售场景。
基于不同的市场场景,我们相信我们有机会在2025年达到约300亿欧元至400亿欧元的年销售额,毛利率约为54%至56%。
展望未来,2030年,我们相信我们有机会实现年销售额约440亿至600亿欧元,毛利率约为56%至60%。
我们的方案上调背后的主要额外需求驱动因素是先进和成熟市场的市场驱动增长、技术(如能源转型、模具尺寸)以及地缘政治和竞争驱动增长。
我们的销售潜力主要基于假设的有机增长。我们不断检讨产品路线图,并不时进行重点收购或股权投资,以增强产品的行业协同效应。根据该等检讨及对明确潜在产品及价值协同效应的评估,我们亦可能于未来评估及推行重点并购活动。在这一增长目标下,我们预计将继续通过增加股息和股票回购的组合向股东返还大量现金。
最后,我们寻求持续改善环境、社会及管治可持续发展的表现。于二零二二年,我们升级了ESG可持续发展策略及关键绩效指标,与合作伙伴紧密合作,加快进度。
阅读更多内容:
我们的业务和ESG策略。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | |
| 我们2025年的更新模型超越了CMD 2021年的高市场场景 | |
| | | | | | | | | | | | |
| | | | | | | | | | | | |
| 市场 | | 系统单位 | | | | | 总销售机会(以欧元为单位) | |
| | | | | | | | | | | | |
| 高 | | | CMD 2021 单位ASML | CMD 2022 单位ASML | CMD 2022单位ASML | | | CMD 2021 销售额 | CMD 2022销售 | CMD 2022销售 | |
| | | | 2025 | 2025 | 2030 | | | 2025 | 2025 | 2030 | |
| | | EUV高NA 0.55 | 5 | 5 | 30 | | 系统 (Litho and M & I)1) | 23 | 32 | 47 | |
| | | EUV低—NA 0.33 | 70 | 80 | 80 | | |
| | | ARFI(沉浸) | 78 | 105 | 115 | | 客户群管理2 | 7 | 8 | 13 | |
| | | 干的 | 189 | 385 | 425 | | |
| | | 总计 | 342 | 575 | 650 | | 总计 | 30 | 40 | 60 | |
| | | | | | | | | | | | |
| | | | | | | | | | | | |
| 低 | | | CMD 2021 单位ASML | CMD 2022 单位ASML | CMD 2022单位ASML | | | CMD 2021 销售额 | CMD 2022销售 | CMD 2022销售 | |
| | | | 2025 | 2025 | 2030 | | | 2025 | 2025 | 2030 | |
| | | EUV高NA 0.55 | 5 | 5 | 15 | | 系统 (Litho and M & I)1) | 18 | 23 | 33 | |
| | | EUV低—NA 0.33 | 48 | 65 | 65 | | |
| | | ARFI(沉浸) | 63 | 75 | 85 | | 客户群管理2 | 6 | 7 | 11 | |
| | | 干的 | 124 | 180 | 250 | | |
| | | 总计 | 240 | 325 | 415 | | 总计 | 24 | 30 | 44 | |
| | | | | | | | | | | | |
| | 1.M&I:计量和检验。 2.客户群管理相当于我们的网络服务和现场选项销售额。 | | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 小图案。巨大的影响。 | 战略报告 | 治理 | 金融类股 | 51 |
| | | | | | | | | | | |
| | | |
| | | |
| | 智能移动性 | |
| | 个人选择, 共享 优势 | |
| | 在世界各地,人们正在改变他们对个人交通的看法。他们不再拥有昂贵且对环境有害的车辆,而是寻求通过汽车共享、拼车、叫车、微型移动性和微型交通从A到B。支撑智能移动的移动应用程序都是由半导体技术实现的。 | |
| | 在线阅读更多 | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险 | 战略报告 | 治理 | 金融类股 | 52 |
| 我们如何管理风险 | | | | |
| 我们使用企业风险管理(ERM)框架来集成风险 管理融入到我们的日常业务活动和战略规划中。 | | | | |
企业风险管理
我们的企业风险管理框架实现了定义明确的治理结构和强大的企业风险管理流程。风险和业务保障职能推动整个ASML的ERM流程和相关活动。我们遵循系统的方法来识别、管理和监控风险,以实现我们的业务目标,制定标准并使管理层能够保持并不断改进我们的治理、风险管理、内部控制和合规。该框架还有助于确定机会,使我们能够实现我们的目标并实现长期可持续增长。
| | |
|
|
风险管理的目的是最大限度地负责任地实现业务目标的概率。 |
海尔特·布伦斯 |
风险和业务保障副总裁 |
错误管理是一个持续的过程。它的相关活动定期重复,以及时发现和处理风险,并确保其结果与决策目的相关。我们的风险和业务保证副总裁总裁向首席财务官和审计委员会汇报工作,并负责领导企业风险管理框架的制定和维护以及企业风险管理流程的实施。我们采用了国际标准化组织31000:2018年标准作为我们企业风险管理活动的基础。此外,V风险和业务保证部门的总裁负责领导安全和内部控制职能,并负责制定和维护合规流程。
风险管理治理结构
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | |
| 监事会 | 审计委员会 | |
| | | | | | | | | |
| 请求调查特定风险主题 | | –一年两次的风险审查 –风险主题反馈 | –关于控制有效性的断言 –季度进度报告 | |
| 管理委员会 | |
| | | | | | | | | |
| 企业风险委员会(CRC) 风险监督 | | 披露委员会 内部控制委员会 | |
| | | | | | | | | |
| –风险偏好 –风险管理政策 –儿童权利委员会小组委员会(治理) | –风险评估结果 –风险应对进展 –事件 | –控制效果 | |
| 风险所有者 | |
| | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 53 |
| 我们如何管理风险(续) | | | | |
监事会和审计委员会
监事会提供独立的监督关于管理层根据定期风险审查识别和减轻关键风险领域的反应。监督委员会的审计委员会对机构风险管理进程进行独立监督,并根据季度最新进展情况及时跟进优先行动。
管理委员会
管理委员会负责管理与我们的业务活动相关的内部和外部风险,并确保我们遵守适用的法律和法规。
企业风险委员会
公司风险委员会是一个中央风险监督机构,负责审查、管理和控制包括安全在内的ASML风险领域的风险。它还批准了风险偏好、风险管理政策和风险缓解战略。公司风险委员会由首席财务官担任主席,并由ASML的高级管理层代表组成,其中包括首席执行官和首席运营官。
| | |
|
|
ASML风险管理流程为适当的风险提供了方向,并为关键风险提供了控制措施。 |
罗尔·韦斯特根 |
企业风险管理负责人 |
披露委员会
披露委员会协助管理委员会监督ASML的披露活动,以及遵守荷兰和美国法律、适用的证券交易所法规和其他监管要求下的适用披露要求。
内部控制委员会
内部控制委员会包括披露委员会的成员,根据萨班斯-奥克斯利法案第404条,在评估我们对财务报告和披露的内部控制时,向披露委员会以及首席执行官和首席财务官提供建议。内部控制委员会主席向审计委员会、首席执行官和首席财务官通报本次评估的最新进展情况。主席还在内部控制委员会提交审计委员会的报告中列入了这一最新情况。
风险所有者
风险所有者监控ASML风险领域的风险发展,并根据公司风险委员会定义的要求推动整个ASML的风险应对。
ASML风险领域
ASML风险领域是对可能对我们实现业务目标的能力产生重大不利影响的风险的综合概述。风险范围于2022年更新,由35个风险类别组成,分为6个风险类型。风险领域允许我们在整个ASML中采用一致的方法进行风险评估。 | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | |
| ASML风险领域 | |
| | | | | | | | | |
| 战略和产品 | |
| | | | | | | | | |
| –行业周期风险 –政治风险 –气候变化风险 | | –商业模式风险 –合并和 收购风险 | | –竞争风险 –创新风险 –产品 管理风险 | | –产品路线图 执行风险 –知识产权 权利风险 | |
| | | | | | | | | |
| 金融和 报告 | | 合作伙伴 | | 人民 | | 运营 | |
| | | | | | | | | |
| –业务规划风险 –外汇 利率风险 –流动性风险 –利率风险 –资本可用性风险 –交易对手信用风险 –股东维权风险 –披露/外部报告风险 | | –客户 依赖风险 –产品/服务 质量风险 –供应商战略和绩效风险 –供应链 中断风险 | | –知识管理风险 –组织效力风险 –人力资源风险 | | –产品 工业化风险 –流程有效性和效率风险 –环境、健康和安全风险 –自身的连续性 操作风险 –安全风险 –信息技术风险 –制造业和 安装风险 | |
| | | | | | | | | |
| 法律和合规 | |
| | | | | | | | | |
| –合同责任风险 | | –违法违规风险 | –违反内部政策风险 | |
| | | | | | | | | |
我们考虑了广泛的内部和外部信息来源,例如宏观经济和行业趋势、相关指引和法规,以及利益相关者在所有领域的需求和期望。风险范围每年检讨、更新及批准,或于重大内部及╱或相关外部发展时更频密。
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 54 |
| 我们如何管理风险(续) | | | | |
企业风险管理进程
我们的机构风险管理流程提供了一种结合自上而下(公司层面)和自下而上(组织和流程层面)观点的整体方法。这有助于我们确保风险识别、评估和管理在正确的层面进行。我们不断寻求改善企业风险管理流程。
定期风险评估的结果以及外部趋势和新出现的风险的潜在影响都反映在ASML风险环境中。由于我们在一个充满活力的环境中经营,风险敞口可能会有所变化。企业风险委员会每个季度都会对ASML风险状况进行审查、更新和讨论。风险评估乃根据风险管理计划进行,而任何额外聘用均经企业风险委员会批准。我们制定策略以应对相关风险,并在确定企业优先事项时考虑这些风险。我们的风险应对措施旨在将风险降低至风险偏好所界定的水平。
风险偏好
我们的风险偏好描述了我们为实现目标而愿意接受的风险水平,这取决于特定风险的性质,并分为五个级别:厌恶、谨慎、适度、高和广泛。我们的方法旨在将风险降低至我们风险偏好所界定的水平。
风险管理流程
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | |
| 风险评估 | | 风险应对 | |
| | | | | | | | | | | | |
| | | | | | | | | | | | |
| 自上而下的风险评估 | | 协调和后续行动 | |
| 公司风险委员会/风险所有者/新兴风险 | | 风险所有者 | |
| | | | | | | | | | |
| | 风险识别 | | 风险格局 | | 风险偏好 | | |
| | | | | | | |
| 风险分析 | | | | | |
| | | | | | |
| 风险评估 | | | 风险处理 | |
| | | | | | | | | |
| | | | | | | | | | | | |
| 自下而上风险评估 | | 行刑 | |
| 国家/部门 | | 诉讼所有者 | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| 风险类型 | | 厌恶 | | 谨慎 | | 中等 | | 高 | | 广泛性 | |
| | | | | | | | | | | | | | | | | |
| 战略和产品 | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| 合作伙伴 | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| 人民 | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| 运营 | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| 财务和报告 | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| 法律和合规 | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 55 |
| 我们如何管理风险(续) | | | | |
风险动态
下表列出影响二零二二年一系列风险类别敞口的外部发展示例,并包括我们的应对示例。以下风险清单和风险应对措施并非详尽无遗。
| | | | | | | | | | | |
战略 | 风险类别 | 风险动态 | 风险应对 |
持续创新,保持技术领先地位
| 创新 产品路线图执行 知识产权 供应商战略和绩效 人力资源 知识管理 安防 竞争 | 知识产权(IP)技术领先压力 | –知识产权组合管理 –专利和相关技术出版物的监测 –在安全计划方面的广泛投资 –提高认识和培训方案 –网络防御中心 |
–ASML及其开放式创新合作伙伴在技术和知识产权保护方面面临巨大压力。ASML的存在是基于人和知识。未经授权披露ASML、其客户或供应商的信息可能会使竞争对手受益,对ASML申请专利的能力产生负面影响,或影响与客户和供应商的合作。 –我们的信息技术系统遭遇网络攻击和其他安全事故,我们的供应商、客户和其他服务提供商也遭遇此类网络攻击。
|
| | | |
先进的光刻解决方案 | 产品产业化 制造和安装 自身业务的连续性 供应商战略和绩效 供应链中断 人力资源 产品和服务质量 过程的有效性和效率 违法违规 商业模式 竞争 政治 行业周期
| 增长挑战 | –提高制造能力、利用率和缩短周期 –快速发货 –支持供应商提高搬运率,缓解材料短缺 –部署入职和福利计划 –缩短学习知识的时间(学习运营模式) |
–所有细分市场和我们的产品组合的需求都在不断增长,这对我们来说是一个机遇,但也带来了挑战。我们面临着在我们的端到端供应链中提高产能以满足这一需求的挑战。这一点因供应链限制而被放大。 –在当前竞争激烈的市场中,招聘、入职和留住劳动力越来越具有挑战性。我们的发展给我们的组织和人员带来了持续的压力,这可能会导致我们员工的福祉问题。 –我们继续经历的高需求可能会改变客户的采购策略,使其变得不那么依赖ASML。 |
地缘政治紧张局势 | –就法规的有效性、后果和可执行性与政府当局积极接触 –在全球宣传中与同行合作 –围绕潜在地缘政治事件的情景规划 –按要求申领出口许可证 –遵守适用的(现有的和新的)法规 –供应链足迹的优化 |
–地缘政治紧张局势正在加剧,2022年期间实施了额外的出口管制限制。进一步限制出口或投资的风险很高,其结果是,随着中国、美国和许多其他国家争夺技术主权,全球贸易正从全球化转向区域化。特别是,中国和美国之间的紧张关系可能会导致一个脱钩的生态系统,并在较长期内导致产能过剩。鉴于两国在半导体供应链中扮演的重要角色,这可能会对我们的行业产生重大影响。贸易和出口壁垒已经影响了我们向某些客户销售产品和服务系统的能力,这可能会继续影响我们未来的业务。 –台湾与台湾人民之间关系的变化可能会导致额外的贸易限制,并可能影响我们的员工,影响我们为全球客户利用我们在台湾的制造设施和供应链的能力,以及我们为台湾客户提供服务的能力。 |
疲软的全球经济 | –控制成本并保持灵活性 –围绕宏观经济趋势的情景规划
|
–由于能源危机加剧了高通胀率,人们对宏观经济下滑的担忧正在加剧。经济不确定性导致消费者和企业支出减少,并可能导致我们的客户减少、取消或延迟订单。经济衰退也可能给紧张的劳动力市场带来机会。 |
| | | |
推动一个更可持续的世界 | 产品监管 EHS 气候变化 人力资源 违反法律和法规 自身业务的连续性 供应链中断 | 加强ESG监管,提高利益相关者的期望 | –利益相关者的参与和披露 –在我们的组织和价值链中部署ESG战略 –根据全球报告倡议(GRI)2021年通用标准进行非财务报告 –业务连续性计划的部署 –将极端天气因素纳入建筑升级和新设计 –遵守(现有和新的)法规 |
–所有行业的公司都面临着与其ESG政策有关的越来越多的审查。我们的利益相关者越来越关注我们对社会的贡献,并期望我们在所有生命周期阶段将产品对环境和社会的影响降至最低。向低碳经济过渡的全球趋势导致实施了更多的监管和披露要求。未能达致我们的ESG目标及符合持份者对ESG新的期望,可能会对我们的品牌及声誉造成负面影响。 |
| 气候变化加剧极端天气 |
| –气候变化导致极端天气事件(如旋风和洪水、火灾压力、干旱、高温和降水压力、海平面上升)的严重性和频率增加,这些事件可能影响我们的运营和╱或供应链的连续性。 |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 56 |
| 风险因素 | | | | |
| 我们面临着许多风险,这些风险可能会影响我们的业务。了解这些问题的性质是很重要的。 我们通过使用ASML风险领域来评估我们的风险,该领域包括六种风险类型(战略和产品、 财务和报告、合作伙伴、人员、运营、法律和合规)。 | |
以下风险因素被归类为这六种风险类型。其中所述的任何风险和事件或情况都可能对我们的业务、财务状况、经营结果和声誉产生重大不利影响。这些风险不是我们面临的唯一风险。一些风险可能还不为我们所知,而某些我们目前认为不重要的风险可能会在未来变得重要。
其中许多风险可能会因全球性事件而加剧,例如新冠肺炎大流行(包括中国零风险政策)、俄罗斯-乌克兰冲突、通货膨胀、针对这些事件采取的全球措施以及相关全球商业和经济状况的任何恶化。
| | | | | | | | | | | | | | | | | | | | |
1.战略与产品 | | | |
| | | | | | |
| 我们未来的成功有赖于我们对半导体行业的商业和技术发展作出及时反应的能力。 | |
| | | | | | |
| 风险类别: | | 商业模式、创新 | | | |
| | | | | | |
| 我们能否成功开发新技术、新产品和新服务,以及提升我们现有的产品和服务,取决于多种因素。这些因素包括我们和我们供应商的研发项目的成功,以及相对于竞争对手或更昂贵的产品开发和设计的及时和成功完成。如果我们追求的帮助客户生产更小、更节能芯片的技术不如竞争对手开发的技术有效,我们的业务将受到影响。如果我们的客户不采用我们开发的技术,或者不采用不那么专注于光刻产品的新技术架构,我们的业务也会受到影响。我们的EUV 0.55 NA(High-NA)技术的成功,我们认为这是跟上摩尔定律的关键,仍然依赖于我们和我们的供应商不断的技术进步。我们投入了大量的财政资源来开发和引入新的和增强的技术、产品和服务。如果我们在开发这些技术、产品和服务方面失败(或者我们的客户没有采用),例如EUV 0.55 NA和多波束检测,或者如果其他公司成功引入替代技术或工艺,我们的竞争地位和业务可能会受到影响。 | | 此外,我们在开发新产品和产品增强方面进行了大量投资,但我们可能无法收回部分或全部投资。由于技术变化,我们可能会对资本化技术(包括原型)产生减值费用,或产生与库存过时相关的成本。随着技术复杂性的增加,这样的成本可能会增加。由于我们系统的高度复杂性和成本,包括较新的技术,我们的客户可能会购买现有的技术系统,而不是新的尖端系统,或者可能会推迟他们对新技术系统的投资,因为考虑到他们的产品周期,这种投资不划算或不必要。全球经济状况影响我们的客户的投资决策,导致对新的领先系统的推出和需求的时间上的不确定性。我们的一些客户在实施其产品路线图方面已经并可能继续遇到延迟。这增加了降低引入新节点以及新系统的整体过渡期(或节奏)的风险。我们还依赖我们的供应商维护他们的开发路线图,使我们能够及时引入新技术。如果他们无法跟上步伐,无论是由于技术因素、缺乏财政资源或其他原因,这可能会阻碍我们实现我们的发展路线图。 | |
| | | | | | |
| | | | | | | | | | | | | | |
| | | | |
| | | | |
| 新产品推出的成功与否是不确定的,取决于我们成功执行研发计划的能力 | |
| | | | |
| 风险类别: | | 产品路线图执行、创新 | |
| | | | |
| 随着我们的光刻系统和应用变得越来越复杂,开发新产品和技术的成本和时间周期也增加了。我们预计这样的成本和时间段将继续增加。特别是,开发新技术,如EUV 0.55 NA(高NA)和多波束,需要我们和我们的供应商进行大量的研发投资,以满足我们和我们客户的技术需求。我们的供应商可能无法或不愿意投入必要的资源,以继续(共同)开发新技术,达到必要的程度。这可能会导致ASML向这样的研发计划提供资金,或者限制我们可以承担的研发投资。此外,如果我们的研发计划不能按时或根本不成功地开发所需的新技术,我们可能无法成功地推出新产品,无法收回我们的研发投资。鉴于客户的高需求,我们可能会把资源优先用于增加生产而不是研发计划。 | |
| | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 57 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | |
| 我们面临着激烈的竞争 | | | | 半导体行业可能是周期性的,我们可能会受到任何经济低迷的不利影响 | | | | 我们的大部分收入来自销售数量相对较少的产品 | |
| | | | | | | | | | | | | | | |
| 风险类别: | 竞争 | | | | 风险类别: | 行业周期风险 | | | | | | 风险类别: | 商业模式 | |
| | | | | | | | | | | | | | | |
| 半导体设备行业竞争激烈。我们的竞争力取决于我们开发新的和增强的光刻设备、相关应用和服务的能力,这些设备和服务为我们的客户带来价值,并以具有竞争力的价格和及时推出-以及我们保护和捍卫我们的知识产权、商业秘密或其他专有信息的能力。我们主要在DUV系统方面与佳能和尼康竞争。佳能和尼康都拥有雄厚的财力和广泛的专利组合。每家公司都继续提供与我们的DUV系统直接竞争的产品,这可能会影响我们的销售或业务。此外,不利的市场状况、长期的产能过剩或日元对欧元的贬值可能会进一步加剧以价格为基础的竞争,导致价格下降,销售额和利润率下降。 我们还面临着来自拥有大量财政资源的新竞争者的竞争,以及来自地缘政治背景下自给自足野心所驱动的竞争者的竞争。此外,我们还面临来自替代技术解决方案或半导体制造工艺的竞争,特别是如果我们未能及时和具有成本竞争力的方式开发新的EUV技术、产品和产品改进。
我们还与支持或增强复杂图案化解决方案的应用提供商竞争,如Applied Materials Inc.。以及KLA—KINOOR Corporation。这些应用程序实际上与我们的应用程序产品竞争,这是我们业务的重要组成部分。 | | | | 半导体行业历来是周期性的。作为全球半导体行业的供应商,我们受制于行业的商业周期,时间、持续时间和波动性都难以预测,可能对半导体制造商产生重大影响,因此也会对ASML产生重大影响。包括中国半导体制造商在内的新进入者可能会增加未来周期性的风险。某些关键的终端市场客户—内存和逻辑—表现出不同水平的周期性和不同的业务周期。我们的光刻系统、服务和其他整体光刻产品的销售在很大程度上取决于半导体制造商的资本支出水平。这些因素反过来又受到行业周期、技术主权的驱动以及一系列竞争和市场因素的影响,包括半导体行业的状况和前景。客户资本支出的时间和规模也会影响业界生产芯片的可用产能,从而导致芯片供需失衡。我们的客户减少或延迟资本开支,或我们对客户资本开支的错误假设,可能会对我们的业务造成不利影响。此外,目前对我们业务产生积极影响的行业趋势,例如客户增加资本支出,可能不会持续下去。 | | 我们能否在行业低迷时维持盈利能力,将主要取决于我们能否将成本降低至收支平衡水平。如果销售额因行业低迷而大幅下降,而我们无法调整同期的成本,以及如果需要退回首期付款,则我们的净收入可能大幅下降或可能遭受亏损。 由于我们在员工、基础设施、生产能力和其他方面大幅增加了组织,因此在行业低迷时,我们可能无法调整成本。 此外,我们正面临全球经济的疲软。经济不确定性经常导致消费者和企业支出减少,并可能导致我们的客户减少、取消或延迟订单。信贷市场收紧、利率上升以及对信贷可用性的担忧可能使我们的客户更难筹集资金(无论是债务还是股权),以资助他们购买设备(包括我们销售的产品)。需求减少,加上我们的客户获得融资的能力延迟(或无法获得该等融资),可能会对我们的产品销售和收入造成不利影响,因此可能会损害我们的业务和经营业绩。 如果我们不能及时、适当地适应宏观经济困难带来的变化,我们的业务、财务状况或经营业绩可能会受到实质性的不利影响。 | | | | 我们大部分收入来自销售相对较少的光刻系统(二零二二年为345台,二零二一年为309台)。因此,出货时间(包括任何延迟)以及确认特定报告期内少数系统的系统销售,加上销售价格上涨,可能会对我们该期间的业务、财务状况及经营业绩造成重大不利影响。 此外,我们可能无法按计划增加安装基础收入,例如,客户可能自行提供更多此类服务,或寻找其他第三方供应商提供这些服务。 | |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 58 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | |
| 未能充分保护知识产权、商业秘密或其他专有信息可能会损害我们的业务 | | | | 对他人提出的知识产权索赔进行抗辩 可能会损害我们的业务 | |
| | | | | | | | | | | | |
| 风险类别: | 知识产权 | | | | | | 风险类别: | 知识产权 | | | |
| | | | | | | | | | | | |
| 我们依靠专利和版权等知识产权(IP)保护我们的专有技术。然而,我们面临的风险是,这种保护措施可能被证明是不够的,我们可能遭受物质损害,除其他外,原因: | | 此外,法律程序可能是强制执行我们的知识产权的必要性,并且有效性和范围可能会受到其他人的质疑。任何该等诉讼可能导致大量成本和管理资源的转移,并且,如果作出不利的决定,可能导致重大成本或对我们的业务产生重大影响。 我们曾经经历过并可能在未来遭遇第三方或我们员工的盗用攻击,包括窃取知识产权、商业秘密或其他专有或机密信息。例如,我们曾经历过未经授权盗用与专利技术有关的数据的情况,如“风险因素—网络安全和其他安全事件,或我们流程或信息技术系统的其他中断,可能对我们的业务运营造成重大不利影响".由于此类事件,第三方或其他人已经或可能未经授权获得、复制、使用或披露我们的知识产权、商业秘密或其他专有信息,尽管我们努力保护他们。 | | | | 在我们的业务过程中,我们过去一直受到第三方的索赔,声称我们的产品或流程侵犯了他们的知识产权。如果成功,此类索赔可能会限制或禁止我们开发技术、制造和销售产品。 此外,我们的客户或供应商可能会受到来自第三方(包括专利持有人公司)的侵权索赔,声称该等客户在半导体产品制造过程中使用的我们的产品和/或与使用我们的产品有关的工艺侵犯了授予该等第三方的一项或多项专利。倘该等索偿获胜诉,我们可能须就因该等侵权行为而蒙受之部分或全部损失或评估之损害赔偿向客户作出赔偿。 | | 我们还可能会产生大量的许可或和解成本,以解决索赔,或潜在地加强或扩大我们的知识产权,或限制我们对第三方知识产权索赔的风险。 专利诉讼是复杂的,可能会持续很长一段时间,可能会产生巨大的费用,并转移关键管理人员和技术人员的注意力。专利诉讼的潜在不利后果可能包括支付重大金钱损害赔偿、禁止我们生产、出口或销售产品的禁令救济、声誉损害和/或涉及我们支付重大成本的和解。 | |
| –知识产权法律可能无法充分支持我们的所有权,或者将来可能发生不利变化; –我们与客户、员工、技术开发合作伙伴及其他人达成的保护我们知识产权的协议(例如保密、许可)可能不充分或可能被违反或终止; –专利权可能不会被授予或解释为我们预期的; –专利权将到期,这可能导致关键技术变得广泛可用,可能损害我们的竞争地位; –我们为防止盗用或侵犯我们所有权而采取的措施可能不会成功; –知识产权和商业秘密在某些国家的执行和执行与我们经营的其他司法管辖区相比可能没有达到相同的水平;以及 –第三方可能能够开发或获得我们或类似竞争技术的专利。 | | | | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 59 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | |
| 我们面临着经济、地缘政治和其他发展, 我们的国际业务 | | | | 我们可能无法进行理想的收购或成功整合 我们收购的任何企业 | |
| | | | | | | | | | | | |
| 风险类别: | 政治 | | | | | | 风险类别: | Mergers & acquisitions | | | |
| | | | | | | | | | | | |
| 全球贸易问题、多边和双边条约和贸易政策的变化和不确定性,以及国际贸易争端、贸易制裁、出口管制、关税和类似法规,都会影响我们在国际上提供系统、技术和服务的能力。特别是,我们在中国等某些国家提供技术的能力一直受到并将继续受到我们获得所需许可证和批准的能力的影响。 我们的业务涉及向包括中国在内的多个国家的客户销售系统和服务,我们的业务近年来在中国的业务有所增长,并包括可能成为增加出口法规或政策主题的技术。 美国政府已制定贸易措施,包括国家安全法规和限制与某些中国实体开展业务,限制我们在没有许可证的情况下向这些实体提供某些产品和服务的能力。受贸易限制影响的中国实体名单,以及出口监管要求以及此类法规的实施和执行,随着某些实体被加入实体名单,以及最近的半导体制造项目附加出口管制对原产于美国的零部件和在中国从事先进技术节点工作的美国人员施加许可证要求,这些公司的名单也随之增加。受限制客户名单可能会有所更改。 | | 多边和双边条约、国家法规、贸易、国家安全和投资政策和惯例方面的这些发展以及进一步的发展已经影响并可能进一步影响我们的业务以及我们的供应商和客户的业务。这些发展已经并将继续影响我们获得必要许可证的能力(包括荷兰政府的许可证),包括使用美国技术的授权以及生产和开发此类技术的员工的授权。这些发展,包括对技术主权的推动,也可能导致全球贸易、竞争和技术供应链的长期变化,这可能对我们的商业和增长前景产生不利影响。 我们的若干生产设施以及供应链及客户均位于台湾。台湾客户占二零二二年总销售净额的38. 2%及二零二一年总销售净额的39. 4%。台湾有着独特的国际政治地位。台湾与中华人民共和国关系的变化、台湾政府的政策以及影响台湾政治、经济或社会环境的其他因素可能会影响我们为台湾客户提供服务的能力,从而可能对我们的业务、财务状况和经营业绩造成重大不利影响。此外,我们的部分设施和客户位于韩国。韩国客户占我们二零二二年总净销售额的28. 6%及二零二一年总净销售额的33. 4%。此外,自第二次世界大战后朝鲜半岛分裂以来,朝鲜与朝鲜民主主义人民共和国(北朝鲜)一直存在紧张关系。该等国家之间的关系恶化或朝鲜半岛爆发战争可能对我们的业务、财务状况或经营业绩造成重大不利影响。 | | | 我们可能不时收购或寻求收购业务或技术,以补充、增强或扩展我们现有的业务或产品,或可能为我们提供增长机会。任何该等收购均可能导致未能实现我们的财务或战略目标,或未能履行我们的计划或扰乱我们的持续业务,并对我们的经营业绩造成不利影响。此外,我们完成该等交易的能力可能受到多项因素的阻碍,包括在获得政府批准方面的潜在困难。 我们进行的任何收购都可能会带来与新业务或技术与我们的业务和组织整合有关的风险。我们无法确定我们将能够从特定收购投资中获得我们预期的利益。该等交易亦可能令我们的管理及营运资源紧张,因为管理新营运的挑战可能会分散我们的管理层对日常营运的注意力。此外,我们可能无法从收购业务中留住关键人员,或者我们可能难以整合员工、业务系统和技术。所收购业务的监控、流程及程序亦可能未能充分确保遵守法律及法规,且我们可能未能识别合规问题或责任。 | | 在收购方面,反垄断和国家安全监管机构过去并将来可能会对我们施加条件,包括要求剥离资产或其他可能使我们难以整合所收购业务的条件。此外,我们可能难以获得或无法获得反垄断和国家安全许可,这可能会阻碍未来的收购。 由于收购,我们录得大量商誉及无形资产。会计准则要求定期检讨该等资产是否有减值迹象。倘发现存在一项或多项减值迹象,则相关资产之估值可能会变动,并可能产生减值开支。 | |
| | | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 60 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | |
| 我们可能无法实现我们的环境、社会及管治(ESG)目标或适应, 及时响应新出现的ESG期望和法规 | | | |
| | | | | | | | | |
| 风险类别: | 气候变化、产品管理 | | | | | |
| | | | | | | | | |
| 所有行业的公司都面临着与其ESG政策有关的越来越多的审查。投资者、资本提供者、股东倡导团体、其他市场参与者、客户和其他利益相关者越来越关注ESG实践,近年来,他们越来越重视其投资的影响和社会成本。特别是在半导体行业内,重点是对社会的贡献,并尽量减少产品在所有生命周期阶段对环境和社会的影响。未能达致我们的环境、社会及管治目标、满足持份者对环境、社会及管治的新期望及╱或及时回应加强的法规及披露责任,可能会对我们的品牌及声誉造成负面影响,从而可能会影响我们的竞争力,以招聘或挽留员工,从而可能对我们的营运造成不利影响。 | | 气候变化导致极端天气事件、海平面上升及干旱的严重程度及频率日益增加,这些事件可能影响我们营运及╱或供应链的持续性。气候变化关注及气候变化对环境的潜在影响已导致并可能导致新的法律及法规,可能会影响我们、我们的供应商及客户。这些法律或法规可能导致我们承担额外的直接合规成本,以及我们价值链导致的间接成本增加。此外,改善产品相关环境绩效(如能源效率)的能力可能会受到我们技术和产品复杂性的影响。为达致我们在此方面的环境、社会及管治目标及要求,我们依赖供应商及其减少生态足迹的能力。此外,我们依赖客户及╱或客户可能对我们的进展不满意,这可能会影响需求。 | | 全球向低碳经济转型的趋势导致实施更多的法规,可能导致技术限制、产品设计修改、能源价格和能源或碳税上涨、污染限制、所需补救措施或其他可能影响我们业务并增加成本的要求。已经推出了各种监管动态,重点是限制或管理二氧化碳和其他温室气体的排放。这可能导致需要重新设计产品和/或以更高的成本购买碳足迹较低的新设备或材料。 我们根据适用的法规及指引,公布与业务及合作伙伴有关的ESG事宜的披露,以及可能不需要但我们选择披露的其他数据。 | | 此类披露包括基于我们的预期和假设的陈述,涉及对成本和未来情况的预测,这些预测可能被证明是不正确的。此外,我们的环境、社会及管治可持续发展策略可能并无预期结果,而我们对实施时间及成本以及达成既定目标的能力的估计受风险及不确定因素影响,可能导致我们未能按预期时间或根本或在预期成本内达成目标。此外,环境、社会及管治的披露要求不断增加,当局已就环境、社会及管治事宜提出的披露要求与我们现时所遵守的要求有所不同,因此,我们在遵守该等法规方面面临风险,包括遵守不同司法管辖区规定的风险、与遵守有关的成本,以及在我们的环境、社会及管治披露被证明不正确时的潜在责任。 | |
| |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 61 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
2.财务和报告 | | | | | | | |
| | | | | | | | | |
| 我们面临金融风险,包括流动性风险、利率风险, 信用风险、外汇风险和通货膨胀 | | | | |
| | | | | | | | | |
| 风险类别: | 流动性,利率,交易对手信贷,外汇 | | | | | |
| | | | | | | | | |
| 我们是一家全球性公司,面临各种金融风险,包括与流动性、利率、信贷、外汇及通胀有关的风险。 流动性风险 我们业务或全球资本市场的负面发展可能会影响我们履行财务责任或在资本或贷款市场筹集或再融资债务的能力。此外,由于法律限制或所需手续,我们可能无法在需要其他地方使用时将现金从一个国家汇回。 利率风险 我们的欧元债券按固定利率计息。我们的现金和投资以及循环信贷 | | 以浮动利率计息。未能有效对冲该风险可能会影响我们的财务状况及经营业绩。此外,由于评级下调(或预期下调)、资本及贷款市场的发展或业务的发展,我们可能会经历借贷成本增加。 | | 于2022年12月31日,应收融资款项为38. 552亿欧元,或83. 7%。因此,我们其中一名主要客户的业务失败或无力偿债可能导致重大信贷亏损。 | | 到欧元。我们的销售成本主要以欧元计值,部分亦以美元及台币计值。我们的经营业绩有一小部分是由欧元、美元、日元、韩元、台币或人民币以外的货币变动所带动。 通货膨胀风险 我们面临因货品、运输及工资成本通胀而导致成本增加的风险,这可能会影响我们的盈利能力。我们目前正经历高于正常水平的通胀,这影响了我们的成本和利润率,以至于我们无法将成本增加的成本转嫁到我们的价格上。 | |
| | | 货币风险 | | |
| | | 我们的财务报表以欧元表示。因此,我们的经营业绩受到欧元与其他货币之间汇率波动的影响。货币汇率的变动可能导致我们的财务报表中出现亏损。我们尤其容易受到美元与欧元之间汇率波动的影响,而日元、韩元、台币和人民币汇率波动的影响较小, | | |
| | 交易对手信用风险 | | | |
| | 我们面临信贷风险,尤其是与我们持有现金及投资的金融对手方以及我们的客户有关。由于我们的客户数目有限,应收款项的信贷风险集中。我们的三个最大客户(按总净销售额计算)占应收账款的52.528亿欧元,占78.6%, | | | |
| | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 62 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
3.合伙人 | | | | | | | |
| | | | | | | | | |
| 我们的成功在很大程度上取决于有限数量的 单一来源关键部件的关键供应商 | | | | |
| | | | | | | | | |
| 风险类别: | 供应链中断、供应商战略与绩效 | | | | | |
| | | | | | | | | |
| 我们依赖外部供应商提供系统中使用的组件和子组件,包括其设计。这些部件和子组件从单个供应商或有限数量的供应商处获得。随着我们业务的增长,我们对单个供应商或数量有限的供应商的依赖也在增加,因为我们的许多部件高度专业化,特别是EUV包括0.55 NA系统,这意味着从多个供应商采购并不划算。因此,我们的采购战略(在许多情况下)规定了“单一采购,双重能力”。我们对有限的供应商集团的依赖涉及几个风险,包括可能无法及时以可接受的成本获得足够的所需部件或部件供应,以及对定价和质量的控制减少。这些组件和组件的供应延迟可能是由于各种原因造成的,例如我们的供应商经历的中断,包括停工、火灾、能源短缺、大流行爆发、洪水、网络攻击、封锁、破坏或其他自然灾害或其他自然或其他灾难,可能会导致我们产品交付的延迟,从而影响我们的业务。例如,我们的某些供应商在运营中遇到了中断 | | 由于芯片和材料短缺。长期无法获得部件或组件的充分交付,或任何其他需要我们寻找替代供应来源的情况,可能会严重阻碍我们及时交付产品的能力,这可能会损害我们与客户的关系,并对我们的业务造成实质性影响。 我们能够生产的光刻系统的数量可能会受到我们的主要供应商之一Carl Zeiss SMT GmbH的生产能力的限制,该公司是我们的透镜、反射镜、照明器、收集器和其他关键光学部件(我们称为光学部件)的唯一供应商。我们与Carl Zeiss SMT GmbH有独家协议,如果他们无法维持和提高生产水平,我们可能无法完成订单,这可能会对我们的业务产生实质性影响,并损害我们与客户的关系。如果卡尔·蔡司SMT有限公司终止与我们的供应关系,或无法长期维持光学产品的生产,我们实际上将无法开展业务。 | | 我们不时会遇到供应紧张,这可能会影响我们的生产,特别是在高需求时期,如我们在2022年经历并将继续经历的那样。2022年,我们受到供应链延误和短缺的影响,导致许多系统的组装工作起步较晚。此外,由于需求很大,我们缩短了工厂的周期时间,以发运更多的系统。我们通过快速发货过程实现了这一点,省去了我们工厂的一些测试。然后在客户现场进行最终测试和正式验收。这为我们的客户提供了更早获得晶片产能的机会,但也导致了这些发货的收入确认推迟到客户正式接受。我们和我们的供应商正在投资增加产能,以满足需求。然而,增加运力需要时间,我们可能在几年内无法满足客户的全部需求。此外,我们还面临需求可能不会继续增加的风险,这可能会导致产能过剩和增加产能的投资损失。 | | 此外,我们的大多数主要供应商,包括Carl Zeiss SMT GmbH,都有数量有限的制造设施,这些设施的中断可能会对我们的生产能力造成重大不利影响。 随着我们的产品变得更加复杂,获得部件的交货期也增加了。我们未能充分预测我们系统的需求或组件发货的任何延迟都可能导致组件供应不足,这可能会导致系统延迟交付,并可能限制我们对不断变化的市场条件做出快速反应的能力。相反,未能预测需求可能会导致库存过剩和陈旧。 我们还依赖供应商开发新的型号和产品,并满足我们的发展路线图。如果我们的供应商在产品开发方面不符合我们的要求或时间表,我们的业务可能会受到影响。 | |
| | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 63 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | 4.人 | | | | | |
| | | | | | | | | | | | |
| 净销售额的很高比例来自于 几个客户 | | | | 我们的业务和未来的成功取决于我们管理组织增长的能力 并吸引和留住足够数量受过良好教育和技术熟练的员工, | | | |
| | | | | | | | | | | | |
| 风险类别: | 客户依存度 | | | | 风险类别: | 人力资源、知识管理、组织效率 | | | |
| | | | | | | | | | | | |
| 从历史上看,我们向有限数量的客户出售了大量光刻系统。由于半导体制造业的持续整合,客户集中度可能会增加。此外,尽管我们整体光刻解决方案的应用部分占我们收入的比例越来越大,但这些客户中有很大一部分与我们的系统客户是同一客户。因此,尽管我们最大客户的身份可能每年有所不同,但在任何特定年份,销售可能仍然集中于相对较少的客户。于二零二二年,向我们最大客户确认的总销售净额为7,046,900,000欧元,或总销售净额的33. 3%,而二零二一年则为6,881,100,000欧元,或总销售净额的37. 0%。于二零二二年,总销售净额的55. 8%来自两名客户。任何重要客户的流失或该等客户的订单大幅减少或延迟可能对我们的业务、财务状况及经营业绩造成重大不利影响。 | | | | 我们的业务和未来的成功在很大程度上取决于我们吸引和留住员工的能力,包括大量高素质的专业人士。对这类人员的竞争十分激烈,在过去一年中更加激烈。尽管我们有能力大幅增长员工基础,但吸引足够数量的合格员工以满足我们不断增长的需求仍将是一项挑战。随着业务增长,无法吸引、引进和留住合格人才的风险也随之增加。 我们的研发项目需要大量合格的员工。倘我们未能吸引足够数量的该等雇员,则可能影响我们及时进行研发的能力。此外,由于辞职或长期患病等意外原因而失去关键员工也是一种风险。 | | 此外,由于我们技术的独特性和复杂性,能够在我们的系统上工作的合格工程师很少,而且通常无法从其他行业或公司获得。因此,我们必须教育和培训我们的员工使用我们的系统。留住这些关键员工是我们成功的关键因素。 此外,我们产品的日益复杂性导致新员工和现有员工和供应商的学习曲线变长,导致无法缩短周期,并可能导致显著的额外成本。我们的供应商在吸引和留住合格员工方面面临类似风险,包括与支持我们研发计划和技术开发的计划有关的员工。如果我们的供应商无法吸引和留住合格的员工,这可能会影响我们的研发计划或向我们交付零部件。 | | 近年来,我们的组织得到了显著的发展。我们可能无法有效地管理、监控和控制我们的员工、设施、运营和其他资源。我们近年来的快速增长,在强劲的客户需求的推动下,给我们的组织和员工带来了压力,这可能会对员工的福祉产生负面影响。这可能反过来对我们的运营效率、我们确保遵守法律法规的能力以及我们作为雇主的声誉产生负面影响。 | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 64 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
5.运作 | | | | | | | | | | |
| | | | | | | | | | | | |
| 我们在管理产品工业化方面可能面临挑战, 使它们大批量生产 | | | | 我们依赖于一个有限的 制造设施数量 | |
| | | | | | | | | | | | |
| 风险类别: | 产品产业化 | | | | 风险类别: | 自身运营的连续性 | | | |
| | | | | | | | | | | | |
| 以基于价值的价格和成本效益的方式将产品大批量生产取决于我们管理产品工业化和管理成本的能力。客户对我们产品的采用取决于我们产品在现场的性能。随着我们的产品变得越来越复杂,我们面临着越来越大的风险,即产品可能不符合开发里程碑或规格,并且可能不符合规格,包括质量标准。如果我们的产品不符合规格和性能标准,或如果出现质量或性能问题,这可能导致额外成本,减少对我们产品的需求,以及我们的客户无法满足计划的晶圆产能。 将新开发的产品过渡到全面生产需要扩大我们的基础设施,包括提高我们的制造能力、增加零部件供应和培训合格人员。这也可能要求我们的供应商扩展其基础设施能力。如果我们或我们的供应商无法按需要扩展基础设施,我们可能无法引入新技术、产品或产品改进,或及时或根本无法实现新开发产品的大批量生产。 | | 此外,当我们成功地将新产品工业化时,可能需要数年时间才能达到利润率,EUV 0.33 NA就是如此。 新技术的利润率可能与现有技术不同,我们可能无法有效地调整基于价值的定价和/或成本。此外,新技术、新产品或产品增强的引入也会影响ASML的流动性,因为新产品可能具有更长的周期时间,导致营运资金需求增加。随着我们的产品变得越来越复杂和昂贵,这种对流动性的影响也越来越大。 提供所需的客户支持功能,以覆盖日益增加的装运数量,并为越来越多的在现场运行的EUV系统提供服务,相关的能力、能力和成本可能会影响装运的时间。它还可能影响维护、维修和升级的有效执行,这是我们系统继续实现所需生产力的关键。 | | | | 我们的所有生产活动,包括组件、最终组装和系统测试,都在荷兰柏林威尔顿圣地亚哥威尔顿、韩国平泽以及林口和台南(台湾)的洁净室工厂进行。这些设施可能因各种原因而中断,包括停工、火灾、能源短缺、大流行病爆发、洪水、网络攻击、阻塞、破坏或其他自然灾害或其他灾害。我们无法确保在发生重大中断时,有其他生产能力可用。于二零二二年,我们的柏林业务发生火灾,需要进行重大恢复工作以确保业务安全。 | | 随着公司的发展,我们无法完全保证我们的风险敞口。此外,并不是所有的灾害都可以投保。由于我们无法就潜在损失适当投保,我们须承受未投保损失的财务影响,这可能对我们的财务状况及经营业绩造成不利影响。 | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 65 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | |
| 我们面临着满足需求的挑战 | | | | 我们经营的性质使我们暴露在健康的环境中, 安全和环境风险 | |
| | | | | | | | | | | | |
| 风险类别: | 制造和安装、人力资源、供应商战略和绩效 | | | | 风险类别: | 环境、健康和安全 | |
| | | | | | | | | | | | |
| 近年来,我们的所有细分市场和产品组合的需求不断增长,因为我们的系统在满足终端市场需求方面发挥着关键作用。这种高需求带来了挑战。我们一直并将继续提高端到端供应链的产能,以满足这一需求,但我们在提高产能方面面临挑战。例如,为了增加产能,我们依赖供应商增加产能,而扩建所需的生产空间和设备需要时间。我们和我们的供应链还需要获得许可,以使扩张成为可能;这些许可可能不会(及时)获得。 在当前竞争激烈的劳动力市场中,ASML和我们的供应商面临着一个挑战。我们的流程和系统可能无法充分支持我们的增长。此外,我们的端到端供应链正面临材料短缺的问题,这阻碍了我们的增长。 | | 如果我们不能成功地提高我们的能力以满足需求,这可能会影响我们与客户的关系和我们的竞争地位。我们持续经历的需求增加及由此产生的供应限制导致客户的交货期延长,这可能导致客户改变其采购策略,减少对ASML的依赖,从而影响我们在某些产品中的市场份额。 在我们能够增加产能的情况下,我们面临的低迷风险更大,因为在行业低迷时,我们将更难降低成本。 | | | | 我们的产品和系统的生产和运营过程中使用有害物质,因此我们必须遵守有关环境保护、员工和产品健康与安全的各种政府法规。这包括运输、使用、储存、排放、处理、排放、产生和处置有毒或其他有害物质。此外,操作我们的系统(使用激光和其他潜在危险系统)可能是危险的,并可能导致伤害。未能遵守当前或未来的法规可能导致我们被处以巨额罚款、暂停生产、改变我们的制造、组装和测试流程、损害我们的声誉和/或限制我们的运营或销售或其他不利后果。 | | 此外,我们的产品变得越来越复杂。这就要求我们投资于持续的风险评估,并为员工(与我们的系统的生产和安装以及现场选项和服务的性能有关)和客户员工(与我们的系统的操作有关)制定适当的预防和保护措施。我们的健康和安全实践可能无法有效缓解所有健康和安全风险。未能遵守适用法规或未能实施客户和员工健康与安全的常规,可能使我们承担重大责任。 | |
| | | | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 66 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | |
| 网络安全和其他安全事件,或我们流程中的其他中断, 信息技术系统可能对我们的业务运营产生重大不利影响 | | | |
| | | | | | | | | |
| 风险类别: | 安全、信息技术、流程有效性和效率 | | | | | |
| | | | | | | | | |
| 我们依赖于信息技术(IT)系统的准确性、可用性和安全性。尽管我们已采取措施,包括与网络安全有关的措施,但我们的系统仍可能因计算机病毒和系统攻击、自然或人为事故、灾难或未经授权的物理或电子访问而被破坏或损坏,我们曾经历过其中一些事件。 我们的IT系统以及我们的供应商、客户和其他服务提供商的IT系统遭受越来越多的网络攻击,而这些系统并不受我们控制。这些攻击包括恶意软件、未经授权访问数据的尝试和行为,以及对我们IT系统的其他电子和物理安全漏洞。它们还包括我们的供应商、客户和其他服务提供商的IT系统,这些系统导致并可能导致我们、我们的客户、供应商或其他业务伙伴(包括研发伙伴)的关键系统中断、未经授权发布、盗用、损坏或丢失数据或机密信息(包括与我们的客户、员工和供应商有关的机密信息)。此外,我们依赖我们的员工和供应商的员工妥善处理机密和敏感数据,并以安全可靠的方式部署我们的IT资源,以免我们的网络系统遭受安全漏洞或数据丢失。 | | 我们的员工、供应商或其他第三方的无意披露或行为或不当行为已导致并可能在未来导致数据丢失或盗用或我们的IT系统遭到破坏或中断,并可能导致竞争损害,并违反出口管制和其他法律法规,可能导致罚款和处罚,业务中断,名誉损害和额外的监管审查或出口管制措施。我们曾经历一名(现)在中国的前雇员未经授权盗用与专有技术有关的数据。我们立即启动了全面的内部审查。根据我们的初步调查结果,我们不认为挪用对我们的业务构成重大影响。然而,由于这一安全事件,某些出口管制条例可能遭到违反。因此,ASML向有关当局报告了这一事件。 我们正就此事件采取额外补救措施。 此外,任何系统故障、事故或安全漏洞都可能导致业务中断、知识产权或商业秘密(包括我们的专有技术)被盗、未经授权访问或披露客户、人员、供应商或其他机密信息、损坏我们的数据或我们的系统、声誉损害或诉讼以及违反适用法律。
| | 此外,计算机病毒或其他恶意软件可能会损害我们的系统和软件,并可能无意中传播到我们客户的系统和操作,这可能导致客户损失、诉讼、监管调查和诉讼,使我们承担民事或刑事责任,以及转移管理层的大量注意力和资源以弥补由此造成的损害。 我们还可能需要承担大量费用来保护或修复这些中断或安全漏洞造成的损害,包括重建内部系统、实施额外的威胁防护措施、对我们的产品和服务进行修改、防范诉讼、回应监管调查或行动、支付损害赔偿金或对第三方采取其他补救措施。此外,补救措施可能不会成功,并可能导致服务中断、延迟或停止、不利的宣传、损害我们的声誉、客户指控违约、可能的诉讼以及现有或潜在客户的损失,这可能会妨碍我们的销售或其他关键功能。 网络安全威胁不断演变。我们仍然可能容易受到其他已知或未知的威胁,因为在某些情况下,我们、我们的客户、合作伙伴和我们的供应商可能不知道事故或其严重程度和影响。
| | 我们还面临着风险,即我们可能会通过向客户提供的系统无意中使客户遭受网络安全攻击,包括恶意软件或其他类型的攻击,如上所述,这可能会伤害我们的客户。此外,我们还提高了组织内部的远程工作水平,这增加了网络安全事件的风险。 ASML在半导体行业的知名度和重要性不断提高。这可能导致可能对ASML的安全或其员工的安全造成不利影响的行动。 此外,流程和系统可能无法充分支持我们近年来经历并将继续经历的增长。我们不时对IT系统和软件实施更新,这可能会中断或关闭我们的IT系统。我们可能无法按计划成功启动和整合这些新系统,而不会中断我们的运营。例如,我们目前正在实施新的ERP系统和基础设施。由于实施此系统或其他原因,我们的运营已经并可能继续遭受中断。
| |
| | | | 阅读更多内容:治理—负责任的企业—信息安全。 | |
| | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 67 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
6.合法和合规 | | | | | | | | | | |
| | | | | | | | | | | | |
| 我们受到越来越复杂的监管 和履约义务 | | | | 税收的变化可能会影响我们的 未来盈利能力 | |
| | | | | | | | | | | | |
| 风险类别: | 违反法律和法规 | | | | 风险类别: | 违反法律和法规 | | | |
| | | | | | | | | | | | |
| 近年来,我们的业务在销售、运营、员工和业务基础设施方面都有了显著增长。因此,遵守法律法规,包括遵守我们的内部政策和标准,例如但不限于ASML行为准则,变得更加复杂。此外,由于我们在全球不同国家开展业务,我们越来越需要遵守该等司法管辖区的额外法律及法规,包括但不限于出口管制、反贪污、反贿赂、反垄断及ESG法规,这些法规可能会很复杂。我们也可能会接受该等司法管辖区当局就遵守法律法规(包括税法)进行的调查、审计和审查。
| | 此外,我们所遵守的现有法律法规,包括但不限于贸易、国家安全、税务、出口管制、报告、产品合规、反腐败法、反垄断法、人权、数据保护、空间规划和环境法等方面的法规,正变得越来越复杂,贸易和国家安全环境导致越来越多的限制。贸易和安全法规限制了我们在某些司法管辖区销售产品和服务的能力,我们面临进一步限制的风险。我们经历了发货许可证的延误以及对向某些客户运送某些产品或组件的限制。 适用于我们业务的法规的此类变更可能会增加合规成本和违规风险。违规行为可能导致罚款和处罚、业务中断、声誉受损和额外监管审查措施。此外,其他法规可能会影响或限制我们在某些司法管辖区销售产品和服务的能力。 | | | | 我们在荷兰和我们业务所在的其他国家缴纳所得税。我们的实际税率过去波动,未来可能波动。 我们的营商环境的变化可能会影响我们的实际税率。我们业务所在国家的税务立法变化、经合组织等全球组织推动的发展以及税务机关对税务方法的任何变化也是如此。所有这些举措已经导致并可能导致ASML的遵约义务进一步增加。此外,这可能导致未来几年我们的实际税率增加。 | | 我们经营所在司法管辖区的税务法例的变动可能会对我们的税务状况造成不利影响,进而对我们的净收入造成不利影响。我们的全球有效税率受到我们经营所在国家的税法及法规中包含的研发奖励措施的严重影响。例如,荷兰的创新箱和我们在美国获得的外国衍生无形收入扣除/研发信贷。如果司法管辖区在这方面改变其税务政策/法律,可能会对我们的全球有效税率产生不利影响。此外,司法管辖区按不同税率征收企业所得税。我们在不同司法权区的销售额组合每年可能有所不同,导致适用于我们溢利的企业所得税税率组合不同,这也可能影响我们的全球有效税率和我们的净收入。 | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 风险续 | 战略报告 | 治理 | 金融类股 | 68 |
| 风险因素(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
7.其他风险因素 | | | | | | | | | | | | | |
| | | | | | | | | | | | | | |
| COVID—19或其他流行病可能影响我们的业务 | | | | 对股东权利的限制可能会削弱投票权 | | | | 在任何特定年度,我们不得宣布现金股息、进行股份回购计划或注销股份,或任何特定金额 | | | | 我们可能会受到俄乌冲突的影响 | |
| | | | | | | | | | | | | | |
| COVID—19疫情及全球为应对该疫情而采取的措施可能会继续影响我们的业务、供应商及客户。大流行病可能对全球经济产生重大影响,可能影响我们的终端市场。 COVID—19疫情增加了我们组织内的远程工作水平,影响生产力,并可能延迟我们的路线图、增加网络安全事件的风险及╱或影响我们的控制环境。此外,由于我们依赖供应商,COVID—19疫情导致供应商的营运中断影响了我们以及我们生产、交付及服务工具的能力。市场对半导体的需求以及我们的产品和服务亦会受到COVID—19疫情及为应对疫情而采取的措施的影响。此外,我们业务的一个重要部分涉及在全球客户场所安装和维修工具,这可能会受到旅行限制和疫苗接种要求的影响。 COVID—19疫情如何发展,以及对全球GDP、终端市场以及我们的制造能力和供应链的影响存在不确定性。疫情对ASML的影响将取决于未来的发展,包括疫情的持续严重性,以及荷兰和其他外国政府为遏制疫情或应对其影响而采取的行动,这些行动超出了我们的控制范围。 | | | | 我们的公司章程规定,我们受适用于大型公司的荷兰法律条款的约束,称为“结构性政体'.这些规定的效果是将某些公司决策和交易的控制权集中在我们的监事会手中。因此,面对监事会成员的行动,普通股股东可能比我们不受“结构制度”约束更难保护其利益。 我们的法定股本还包括一类累积优先股,我们已授予荷兰基金会Stichting Preferente Aandelen ASML以每股0. 09欧元的面值收购该等累积优先股的选择权。行使优先股购股权将有效地稀释我们发行在外普通股的投票权一半,这可能会阻碍或严重阻碍第三方收购我们大部分有投票权股份。 | | | | 我们的目标是支付季度股息,随着时间的推移(按年计算)增长,我们不时进行股票回购。股息建议、股份回购金额及股份注销将视乎可供分派溢利、保留盈利及现金而定,并可能受(其中包括)管理委员会对我们未来潜在流动资金需求(包括产能投资及营运资金需求)的意见所影响,我们的研发项目的资金和可能不时出现的收购机会,以及适用所得税和公司法的未来变化。管理委员会可决定提议不支付股息或支付较低的股息,并可暂停、调整股份回购计划的金额或停止股份回购计划,否则我们可能无法完成回购计划。 | | | | 虽然我们目前没有在俄罗斯或乌克兰开展业务,但乌克兰军事行动的影响给宏观经济环境带来了不确定性。这一军事行动,包括制裁和其他相应措施,已经并可能进一步对全球经济、金融市场和供应链造成不利影响,因此可能影响客户需求、向客户交付产品和服务,以及我们和我们供应链获得零部件和天然气供应的能力。此外,冲突加剧了能源价格、大宗商品价格、运输成本、通货膨胀和网络攻击的飙升。 | |
| | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 小图案。巨大的影响。 | 战略报告 | 治理 | 金融类股 | 69 |
| | | | | | | | | | | |
| | | |
| | | |
| | 虚拟和增强现实 | |
| | 虚拟 现实,不真实的机会 | |
| | 虚拟现实(VR)和增强现实(AR)比游戏更重要。在ASML,这些技术正在帮助我们设计、建造和维护一些世界上最复杂的机器。通过VR和AR,我们的团队能够操纵设计并学习如何维护系统—在某些情况下,在机器本身实际存在之前的许多年。 | |
| | 在线阅读更多 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境、社会和治理 | 战略报告 | 治理 | 金融类股 | 70 |
| ESG一目了然 | | | | |
| 我们的目标是成为可持续发展的领导者,并继续推动进步, 包容性和可持续的增长。 | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | |
| 我们的愿景 | | | | 我们对A 数字化、可持续的未来 | |
| | | | | | | | |
| | | | | | | 我们希望为扩展计算能力做出贡献,同时将浪费、能源使用和排放降至最低。这就是为什么我们专注于能源效率、气候行动和循环经济。 | |
| | | | | | |
| | | | | | |
| 我们在ASML的愿景是实现突破性的技术,解决人类面临的一些最严峻的挑战。 | | | | | |
| | | | | | | |
| | | | | | 我们希望确保负责任的增长有利于我们所有的利益相关者—为所有人提供一个有吸引力的工作场所和负责任的供应链,推动我们生态系统的创新,并成为我们社区的重要合作伙伴。 | |
| | | | | | |
| | | | | | | | |
|
| | | | | | 我们承诺履行我们的责任,并通过我们对综合治理、利益相关者参与和透明报告的关注,以我们开展业务的方式完全固定我们的责任。 | |
| | | | | | |
| | | | | | |
| | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | |
| 我们如何报告我们的ESG进展 | |
| | 我们与可持续发展目标保持一致 | | | ESG可持续发展章节 | | |
| | | | | | | | | | | | |
| | 环境 | 75 | | | –能源效率和气候行动 | 阅读更多关于第76页> | |
| | | | | | | | | –循环经济 | 阅读更多关于第85页> | |
| | | | | | | | | |
| | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | | | |
| | 社交 | 96 | | | –对所有人都有吸引力的工作场所 | 阅读更多关于第97页> | |
| | | | | | | | | –我们的供应链 | 阅读更多关于第109页> | |
| | | | | | | | | |
| | | | | | –创新生态系统 | 阅读更多关于第118页> | |
| | | | | | | | –我们社区的重要合作伙伴 | 阅读更多关于第124页> | |
| | | | | | | | | |
| | | | | | | | | | |
| | 治理 | 133 | | | –管理ESG可持续性 | 阅读更多关于第134页> | |
| | | | | | | | | –负责任的企业 | 阅读更多关于第135页> | |
| | | | | | | | |
| | | | | | –我们的征税方法 | 阅读更多关于第147页> | |
| | | | | | | | | | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境、社会和治理 | 战略报告 | 治理 | 金融类股 | 71 |
| 我们重要的ESG可持续发展主题 | | | | |
我们的目标是为持份者创造长期价值,并塑造可持续发展的未来。为达致这些目标,我们必须将策略重点放在最重要的环境、社会及管治可持续发展议题。
我们的材料主题代表了我们对经济、环境和人民,包括他们的人权最重要的影响。我们每年根据与持份者的持续接触、ASML内部的发展以及我们的运营环境更新重要性。
确定重要专题的过程包括四个步骤,这些步骤以全球报告倡议提供的指导为基础。我们的2022年重要性评估流程基于“GRI 3:2021年重要主题”标准。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| | 第一步:理解 背景 | | | 第二步:识别 影响 | | | 步骤3:评估影响的重要性 | | | 第四步:最优先 重大影响 | | |
| | | | | | | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | |
| | | | 主题列表,正面和负面,实际和潜在,简短 和长期影响 | | | 其规模、范围和补救性的正面和负面 | | | 大多数重要主题影响战略和长期目标 | | |
| | 股东 | 顾客 | | | | | | | | |
| | | | | | | | | | | |
| | 员工 | 供应商 | | | | | | | | |
| | | | | | | | | | | | |
| | 社会 | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| 2021年至2022年可持续发展主题列表的主要变化(步骤2:确定影响) | |
| | | | | | |
| | | 2022主题 | | 2021主题 | |
| 环境 | | –循环经济 | | –废物管理 –循环经济:再利用 –循环经济:回收 | |
| | | | | | |
| 环境 | | –能源管理和碳足迹:供应链 –能源管理和碳足迹:运营 | | –能源管理业务 | |
| | | –能源管理和碳足迹:产品使用和下游 | | –能源管理产品 | |
| | | | | | |
| 环境 | | –生物多样性 | | (无) | |
| | | | | | |
| 社交 | | –创新生态系统 | | –知识产权保护 –创新管理 –创新伙伴关系 | |
| | | | | | |
| 社交 | | –人才吸引、员工敬业度和留住 | | –吸引和留住人才 –员工敬业度 | |
| | | | | | |
| 社交 | | –负责任的供应链和产品管理 | | –负责任的供应链 –产品监管 | |
| | | | | | |
| 社交 | | –多样性和包容性 –职业健康与安全 –负责任的供应链和产品管理 | | –人权 | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境、社会和治理续 | 战略报告 | 治理 | 金融类股 | 72 |
| 我们的材料ESG可持续发展主题(续) | | | | |
步骤1:
理解上下文
重要性评估流程的关键是了解受我们影响或可能受我们影响的持份者。我们有五个利益相关者群体:股东、客户、员工、供应商(包括承包商)和社会。我们持续与该等持份者接触,了解他们的关注以及我们如何影响他们的利益。透过持份者的参与,我们亦会识别改善措施,并收集有关我们表现及进展的反馈。
阅读更多内容:
我们的商业模式—与利益相关者互动。
我们亦透过审阅相关资料来源,监察我们活动及业务关系的可持续发展背景。这些来源包括国际标准和(即将出台的)立法、行业和同行、媒体和ESG评级机构。
步骤2:
确定实际和潜在影响
我们根据持份者参与的见解和相关信息来源确定了初步的议题和影响清单。专题清单包括积极和消极、实际和潜在以及短期和长期影响。实际影响是已经发生的影响,潜在影响是可能发生但尚未发生的影响。评估旨在涵盖所有可能与我们整个价值链和业务关系相关的影响,并考虑相关的GRI主题标准。
虽然我们的2022年主题列表包括2021年重要性评估的主题,但也包括多项更改,其中一些主题合并以捆绑强相关影响。上一页的表格显示了我们在重大问题上的主要动向。
步骤3:
评估影响的重要性
我们根据实际负面影响的严重程度(规模、范围和不可补救的性质)评估其重要性,并根据实际正面影响的规模和范围评估其重要性。对于潜在影响,我们还评估了可能性。负面影响和正面影响分别进行评估,因为它们不能总是比较,正面影响不能抵消负面影响。
根据ASML主题专家的评估,对主题进行了排序,最初基于规模、范围和补救性,如果排名相同,也基于可能性。专题的排序也由利益攸关方团体的内部代表审查,以确保所有利益攸关方的关切和利益得到充分考虑。
步骤4:
优先考虑最重要的影响
最重要的影响在战略和报告中被列为优先事项。重要性评估的结果用于制定我们的策略和长期目标,旨在为所有持份者创造长期价值。管理委员会制定了这一战略。
下表显示了重要议题、每个议题定义中包含的影响、这些影响是正面还是负面、实际还是潜在的,以及它们在价值链中的何处发生。
与2021年相比,GRI标准中主题优先排序的标准发生了变化,影响了2021年和2022年重要主题的可比性。于二零二二年发生以下变动:
–"社区参与"成为一个新的重要主题,涵盖了对该地区住房、人才和基础设施供应的(潜在)负面影响,以及创造就业机会和社区方案的积极影响。
–“人力资本开发”不再是一个重要的话题,尽管评估显示ASML通过为员工提供培训和职业发展机会产生了积极的影响。
–“客户亲密度”不再是一个重要的话题,因为影响是更新后的GRI标准中重要性的唯一标准。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境、社会和治理续 | 战略报告 | 治理 | 金融类股 | 73 |
| 我们的材料ESG可持续发展主题(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| 材料主题20221 | | | | | | |
| 主题名称 | | 主题定义(涵盖的影响) | | 正面或负面影响 | 实际或潜在影响 | 影响区价值链 |
| 能源管理和碳足迹—产品使用和下游 | | a)能效产品(EUV、DUV) (b)能源消耗(EUV、DUV) c)范围3下游排放 | | 负性 | 实际 | 下游客户和社会 |
| 能源管理和碳足迹—供应链 | | a)能源管理供应链 b)范围3上游排放 | | 负性 | 实际 | 上游供应商和合作伙伴 |
| 能源管理和碳足迹—运营 | | a)自有建筑物和工厂的能源使用和管理 (b)减少能源消耗 c)使用可再生能源为我们的运营 d)产生的范围1和范围2温室气体排放量 | | 负性 | 实际 | 自营业务 |
| 循环经济 | | a)通过操作产生的废物(例如,来自部件、包装、建筑的废物, 危险废物和其他废物处置) b)使用不可再生材料和资源 | | 负性 | 实际 | 整个价值链 |
| | c)可再生材料和资源的使用 d)减少和管理运营产生的废物的措施(例如回收、再利用和废物 转移至处置) e)减少材料使用并转向产品和材料流通的措施 | | 正性 | 实际 | 整个价值链 |
| 多样性和包容性 | | (a)劳动力的性别多样性 (b)治理机构的多样性 c)劳动力包容性 (d)薪酬平等,即男女基本工资和薪酬的比率 E)多样性(年龄、性别、文化背景等)新员工、晋升和人员流动率 | | 正性 | 实际 | 自营业务 |
| 人才吸引、员工敬业度和留住 | | A)新员工招聘和员工流动率 B)工作条件,包括工作时间、休息时间、假期、解雇做法、产假 支持工资保护,支持集体谈判决定工资等。 C)薪酬做法,包括这些做法与法律和行业最低标准之间的关系,是否 他们说,他们使员工能够满足他们的基本需求,加班如何得到补偿,等等。 D)其他福利,包括人寿保险、保健、残疾和伤残保险、父母 申请休假、退休保障等。 | | 正性 | 实际 | 自营业务 |
| 职业健康与安全 | | A)工伤、健康不佳和福祉 B)与工作有关的危险和风险,包括确定、评估和采取下列措施 中国政府将管理这些风险 C)安全文化,包括工人参与、协商、交流和培训 美国职业健康和安全委员会 | | 负性 | 潜力 | 自营业务 |
| 负责任的供应链和产品管理 | | A)社会影响(如健康和安全、工作条件、童工等)在供应链中 行动计划和采取的行动 B)环境影响(如污染、用水等)在供应链中和采取的行动 C)供应商ESG标准和筛选 D)供应商ESG业绩 E)产品设计和生产对供应链环境和社会方面的影响 *工程 | | 负性 | 潜力 | 上游供应商和合作伙伴 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境、社会和治理续 | 战略报告 | 治理 | 金融类股 | 74 |
| 我们的材料ESG可持续发展主题(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| 主题名称 | | 主题定义(涵盖的影响) | | 正面或负面影响 | 实际或潜在影响 | 影响区价值链 |
| 创新生态系统 | | (a)创新伙伴关系 (b)创新管道 (c)实物支持初创企业和扩大规模 (d)欧盟公私研发创新项目 e)知识管理 | | 正性 | 实际 | 整个价值链 |
| 社区参与 | | (a)当地社区的影响,包括住房、人才输送(区域)、流动性和基础设施, 社会凝聚力、邻居(本地)影响 | | 负性 | 实际 | 自营业务 |
| | B)地方社区影响,包括经济增长、地方税收贡献和创造就业机会 C)慈善事业,包括当地社区参与和发展方案 | | 正性 | 实际 | 自营业务 |
1.虽然生物多样性被列为2022年重要性评估的一个专题,但我们对这一专题的影响进行了评估,与其他专题相比,它不被认为是实质性的。
为联合国可持续发展目标作出贡献
2013年,所有成员国通过了联合国2030年可持续发展议程,为现在和未来的和平与繁荣,为人类和地球提供了共同的蓝图。
我们制定了ESG计划的工作流程,以支持联合国可持续发展目标(SDGs)定义的2030年雄心,重点关注我们可以产生最大影响的六个特定的可持续发展目标。我们对这些可持续发展目标的雄心壮志、承诺和计划在本报告每个ESG章节的开头都有更全面的解释。简而言之,这些建议如下:
在我们的环境作为我们的支柱,我们通过在我们的运营中解决能源效率问题来关注可持续发展目标13(能源效率和气候行动),并通过我们的循环经济工作流程来关注可持续发展目标12(负责任的消费和生产)。
在我们的社交作为中流砥柱,我们专注于SDG 4(优质教育),通过发展我们的员工,促进我们所在社区的终身学习机会。SDG 8(体面工作和经济增长)包括我们的承诺,即提供一个有吸引力的工作场所,促进整个供应链的持续、包容性增长、充分和生产性就业以及所有人的体面工作。我们对SDG 9(工业、创新和基础设施)的支持体现在我们努力构建一个弹性生态系统,在促进包容性和可持续工业化的同时促进创新。我们支持SDG 11(可持续城市和社区),与我们的社区外展伙伴合作,使城市和其他人类住区具有包容性、安全、弹性和可持续发展。SDG 12(负责任的消费和生产)通过我们与供应商和我们的供应链的合作来解决。
在我们的治理在此基础上,我们致力于实现可持续发展目标8(体面工作和经济增长),确保消除所有类型的强迫劳动,保护劳工权利,并为每个人创造一个安全可靠的工作环境。除了我们的环境和社会支柱(见上文)外,可持续发展目标12(负责任的消费和生产)还在我们的治理支柱下得到了支持,我们根据商定的国际框架,在化学品和所有废物的整个生命周期内实现无害环境管理。
| | |
我们相信,不断增加的数字化为建设一个环境和社会可持续性更高的社会开辟了道路。 |
|
|
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护 | 战略报告 | 治理 | 金融类股 | 75 |
| 环保一目了然 | | | | |
| 我们致力于减少营运及使用产品及服务所产生的环境足迹。 | | | | |
| | | | | | | | |
| | |
| 我们要做的是 | |
| 我们开发光刻技术,使制造商能够制造更节能的微芯片。减少我们的环境足迹及管理我们的废物(无论是来自我们的营运还是使用我们的产品和服务)是我们环境、社会及管治实践的关键。 | |
| 我们的目标 | |
| 随着世界不断增加对技术的依赖,以解决其一些最紧迫的挑战,我们的作用是通过扩大必要的计算能力的可用性来帮助实现这一目标。
我们的目标是到2025年实现碳中和,业务(范围1和2)净零排放。我们的目标是到2030年实现供应链净零排放(范围3),并到2040年实现客户使用我们产品的净零排放(范围3)。此外,我们的目标是到2030年实现从运营到填埋或焚烧的零废物。
我们关注能源效率——不仅在我们的业务中,还通过解决半导体在运行中所需的能源数量。我们亦正努力管理自己的废物流,改善价值链的循环性。
我们的行动特别与两个可持续发展目标密切相关,即可持续发展目标13(能源效率和气候行动)和可持续发展目标12(循环经济)。 | |
| | |
| | | | | | | | | | | | | | | | | |
| | | | | |
| 能源效率和 气候行动 | | 阅读更多关于第76页> |
| | | | | |
| | SDG 13 采取紧急行动,通过管制排放和促进可再生能源的发展,应对气候变化及其影响 | | –能源管理和碳足迹:业务(范围1和2) –能源管理和碳足迹:供应链、商务旅行和通勤(范围3) –能源管理和碳足迹:客户的产品使用(范围3)
| |
| | | | | |
| | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | |
| 循环经济 | | 阅读更多关于第85页> |
| | | | | |
| | SDG 12 | | –减少运营中的浪费 –重复使用零件和材料 –翻新成熟产品 –水管理 | |
| 确保可持续的消费和生产模式 | | |
| | | | |
| | | | |
| | | | | |
| | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 76 |
| 能源效率和气候行动 | | |
| 我们致力于尽可能降低碳足迹,以实现业务及供应链的净零排放。除了提高产品的生产率外,我们还致力于降低产品的绝对能耗。 | | | |
| | | | | | | | | | | | | | |
| | | | |
| 38.1 KT | | 1.11 KT | |
| 范围1和2 CO2e排放量(2025年目标:净零) | | 范围3 CO2e排放强度(每欧元/m毛利) (2025目标:1.02) | |
| | | | |
| 0.56 KT | | 11.9 Mt | |
| 净范围3 CO2e 排放强度(每欧元收入) | | 范围3 CO2e排放量(2040年目标:净零) | |
| | | | |
| 8.27千瓦时 | | | |
| 每一次曝光晶圆通过的NXE能耗(NXE:3600D,2021年测量)(2025年目标:5.1 kWh) | | | |
| | | | | | | | | | | |
| 在本节中 | |
| 78 | 我们2022年的整体表现 | |
| 79 | 能源管理和碳足迹:业务(范围1和2) | |
| 81 | 能源管理和碳足迹:供应链、商务旅行和通勤以及客户的产品使用(范围3) | |
我们的方法
气候变化是一个全球性挑战,需要包括我们在内的每个人都采取紧急行动。虽然我们的工业给社会带来了可观的好处,但这些好处是有代价的,因为它消耗了大量的能源和资源。我们已经将能源管理和碳足迹确定为我们业务的三个不同领域的重要主题--在我们自己的运营中,在我们的整个供应链中,在我们产品的使用和下游。
认识到遵循以科学为基础的途径将全球变暖控制在1.5摄氏度以内的重要性,我们是以科学为基础的目标倡议(近期SBTI)的签署国。我们在ASML的目标是在2040年前实现我们价值链上的净零排放。
我们列出了以下里程碑和重点领域,以帮助我们实现这一目标:
1.能源管理和碳足迹--业务(范围1和范围2):到2025年实现净零排放
2.能源管理和碳足迹-供应链(范围3):到2030年将范围3上游净排放减少到零,到2025年将商务旅行和通勤的净排放减少到零
3.能源管理和碳足迹-我们客户的产品使用(范围3):到2040年产品使用的净零排放范围3
在本节中,我们将详细说明我们的方法,并解释我们如何在我们的重点领域范围内实现我们的目标。
在我们努力降低我们自己的碳足迹的同时,我们致力于利用我们的创新和数字技术来使该行业能够减少其环境足迹。例如,我们的EUV系统允许客户使用更少的工艺步骤和资源更高效地制造先进的芯片。
| | | | | | | | | | | | | | |
| | | | |
| 能源效率和气候行动 | |
| | | | |
| SDG目标 | | 我们如何衡量 我们的表演 | |
| | | | |
| SDG目标13.1 | |
| |
| 加强各国对气候相关灾害和自然灾害的复原力和适应能力 | | –范围1和2 CO2电子排放物 –范围3 CO2e排放强度(每欧元/m毛利) –净范围3 CO2e 排放强度(每欧元收入) –范围3 CO2电子排放物 –每个曝光晶圆通过的NXE能量使用 | |
| | |
| | | | |
| | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 77 |
| 能源效率和气候行动(续) | | | | |
| | | | | | | | | | | |
| | | |
| 我们的价值链实现净零排放的旅程 | |
|
| 我们的目标是在2040年前实现价值链净零排放的过程中,在每个影响领域实现以下里程碑: –2025年:净零范围1 + 2排放 –2025年:商务旅行和通勤的范围3净排放为零 –2030年:与供应商合作,将范围3上游净排放量降至零 –2040年:与客户和同行合作,将产品使用的范围3净排放量降至零 我们实现净零排放的方法基于四大支柱: 1.分析能源使用和温室气体(GHG)排放,了解改善方案 2.在能源效率方面进行创新,并重新设计我们的资产、产品和流程,以尽量减少对环境的影响 | |
| | | |
我们的环境管理系统
为衡量我们的旅程,我们已建立环境管理系统(EMS),以帮助我们监控能源使用和排放,改善绩效和提高效率。环境管理系统已整合到我们的环境、健康和安全(EHS)管理体系中。我们所有的设施都基于此系统运作——台湾台南和美国圣何塞的HMI地点现已成功整合。我们的系统已通过ISO 14001认证,并按照ISO 45001要求构建。
| | |
|
3.致力于引领向100%可靠的可再生能源的转变 |
4.如果没有其他合理的改善措施,补偿剩余排放,以实现我们的目标 |
我们认识到,我们无法独自完成这些工作,这就是为什么我们与员工、供应商、客户、同行和社会密切合作。 我们使用气候相关财务披露工作组(TCFD)的评估指南识别和评估气候相关风险和机遇的影响。 |
更多内容请阅读: |
我们的TCFD建议:与气候有关的披露,可在www.asml.com上查阅。 |
|
该认证使我们的利益相关者对我们实现环境目标的承诺充满信心。
我们参与非营利全球披露计划碳披露项目(CDP)的年度评估,也有助于指导我们的环境举措。我们在最近的CDP气候变化2022问卷中的得分是B,高于全球平均水平C。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 78 |
| 能源效率和气候行动(续) | | | | |
| | | | | 已步入正轨或达到目标• 持续的重点领域n | |
我们2022年的整体表现
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | 进度跟踪 | |
| 主题 | | | 2025年目标 | | 绩效指标 | 2020 | 2021 | 2022 | 状态 |
| | | | | | | | | | |
| | | | | | | | | | |
| 气候行动 | | | 净零 | | 范围1--我们业务中化石燃料的直接排放(千吨) | 15.4 | 19.3 | 17.3 | • |
| | | 净零 | | 范围2--能源消费的间接排放量(千吨)[基于市场的]2 | 0.0 | 20.1 | 20.8 | • |
| | | | 净零(2040) | | 范围3--总价值链的间接排放量(千吨) | 8,800.0 | 11,400.0 | 11,900.0 | • |
| | | | | 总占地面积(以kton为单位)1 | 8,815.4 | 11,439.4 | 11,938.1 | |
| | | | | | | | | |
| | | 不适用 | | 范围3 CO2E排放强度(每欧元m收入) | 0.63 | 0.61 | 0.56 | 不适用 |
| | | 1.02 | | 范围3 CO2E排放强度(每欧元m毛利) | 1.29 | 1.16 | 1.11 | • |
| | | 不适用 | | 减少项目温室气体排放量(千吨) | 不适用 | 不适用 | 2.6 | 不适用 |
| | | | | | | | | | |
| | | | | | | | | | |
| 能效 | | | 5.1 | | 产品-每片晶片的nxe能耗(千瓦时) | *9.64(nxe:3400C) | 8.27(NXE:3600D) | 8.27(NXE:3600D) | • |
| | | 不适用 | | 产品-NXT每晶圆片能耗(千瓦时) | 0.45(NXT:2050i) | 0.48(NXT:1980 Ei) | 0.46 NXT:2100i | 不适用 |
| | | | 不适用 | | 能源消耗(单位:TJ) | 1,412 | 1,689 | 1,633 | 不适用 |
| | | 100 TJ | | 通过项目在全球范围内节约能源(以台湾省为单位)3 | 113.9 | 12.7 | 19.0 | • |
| | | 100% | | 可再生电力(占总购电量) | 100 | % | 92 | % | 91 | % | • |
| | | (10)% | | 能源消耗(Nxe)(在2018年基线1.4兆瓦的基础上减少%) | (6)%(nxe:3400C) | (6)%(nxe:3600D) | (6)%(nxe:3600D) | • |
| | | 不适用 | | 吞吐量(WPh)(Nxe) |
| 160(NXE:3600D) | 160(NXE:3600D) | 不适用 |
| | | (60)% | | | (26)%(NXE:3400C) | (37)%(NXE:3600D) | (37)%(NXE:3600D) | • |
1.《温室气体议定书》的指导意见——该组织提供了广泛使用的排放报告国际标准——用于计算排放范围。基于市场的换算系数用于计算范围1和范围2 CO2E排放量,单位kt。
2.我们报告了购买EAC后基于市场的排放量。ASML目前并无抵销任何剩余排放,因此我们的总排放量与净排放量并无差异。
3.2021年,我们开始了新的2021—2025年总体规划期,目标是到2025年底实现100 TJ的节能。2020年的数字与2016—2020年总体规划有关。所报告的节余是与基准年相比累积的,因此不具有可比性。
更多内容请阅读:
非财务报表—非财务指标—能源效率和气候行动。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 79 |
| 能源效率和气候行动(续) | | | | |
| 能源管理和碳足迹:业务(范围1和2) | | | | |
我们的方法
范围1排放
我们的主要直接CO2 排放物来自化石燃料,主要是我们营运中的天然气。绝大多数天然气消耗用于我们的建筑物供暖和洁净室的加湿。
范围2排放
购买的电力占我们ASML使用的能源的80%。我们的大部分电力消耗与制造芯片制造设备有关-从组装到测试光刻和其他系统-以及保持一致的气候条件,如恒定的温度、湿度和空气质量。
我们的目标是通过以下方式实现范围1和范围2的目标:
1.降低能源消耗
2.使用可再生能源
3.补偿CO2排放
我们的目标
我们的目标是到2025年实现范围1和范围2的净零排放。这一目标与将全球变暖控制在1.5摄氏度以下所需的减排目标一致,并得到了SBTI的批准--被归类为“近期”。
我们在2022年的表现
范围1排放
尽管我们的销售额增长了13.8%,但我们的范围1总排放量从2021年的19.3千吨减少到2022年的17.3千吨。
范围2排放
2022年,我国能源消费间接排放量为20.8kt(2021年为20.1kt)。我们在购买能源属性证书(EAC)后报告基于市场的排放量。ASML目前没有抵消任何剩余的排放,因此我们的总排放量和净排放量之间没有差异。
与2021年相比,我们的用电量增加了,我们的范围2排放也增加了。可再生电力的份额从2021年的92%略降至91%,原因是台湾的用电量增加(我们目前尚未在台湾购买可再生电力)。
在实现净零排放目标方面,我们面临的最重要挑战之一是在台湾和韩国采购可信的可再生能源。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 80 |
| 能源效率和气候行动(续) | | | | |
我们在2022年的行动
1.减少能源消耗和天然气的使用
我们的目标是通过每年直接节省100 TJ(或3kt CO)来降低能源消耗2E)执行节能总体规划中的80多个项目,该总体规划涵盖了我们五个大型工业基地。这一总体计划的主要组成部分是减少天然气和电力的使用,在我们的场地上增加可再生能源的生产,购买可信的可再生电力,以及优化BREEAM(建筑研究机构环境评估方法)认证办公室的使用。
在80多个项目中,6个重点项目和预计每年节省的能源如下所示。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| 下表包括支持总体规划并将有助于实现2021年至2025年节约的六个关键项目: | |
| | | | | | |
| 重点项目 | 位置 | 估计总节能--年度 (TJ) | 天然气预计减排量(TJ) | 预计用电量减少 (TJ) | |
| 能源电网 | Veldhoven | 50 | 40 | 10 | |
| 实施绝热加湿,消除蒸汽产生 | Veldhoven | 12 | 12 | 0 | |
| 可再生能源发电(太阳能电池板) | Veldhoven | 3 | 0 | 3 | |
| 现场可再生能源发电 (太阳能电池板) | 圣地亚哥 | 8 | 0 | 8 | |
| 取代冷风机 | 威尔顿 | 3 | 0 | 3 | |
| HVAC能耗和改善(设定点) | 台湾 | 3 | 0 | 3 | |
| 总计 | | 79 | 52 | 27 | |
| | | | | | |
节能主要是通过使用更节能的技术装置和改善整体生产流程来实现。我们的工作重点是回收废热和减少洁净室的能源消耗,维持适当的环境是能源密集型的。
我们的目标之一是减少天然气的使用。根据我们的计划和计算,我们预计Veldhoven的天然气使用量将从约440万立方米减少。3至约130万米3在未来三年内,由电网带动,结合其他节能措施。
我们有一个多年期的项目,旨在实施一个能源电网,以在荷兰Veldhoven工厂的办公室中回收来自工厂的废热。能源网是一个双管回路,使废热可用于冬季供暖,夏季可用于节能制冷。
随着我们作为一家公司的成长,我们努力优化我们的房地产投资组合。由于我们95%的范围1和2排放与我们的建筑物有关,优化我们产品组合中每平方米的使用有助于减少我们的环境足迹—节省的每平方米都是我们不需要加热、冷却、通风或照明的。
在建设新的办公室和生产基地时,我们抓住机会,使其尽可能环保。我们已使用BREEAM指南评估了若干现有建筑的可持续发展绩效。我们新建的物流中心获得了“优秀”的分数。我们预期其他楼宇的评估结果将于二零二三年初公布。着眼于未来的发展,我们在Veldhoven的新校园也在设计中非常注重可持续发展。2025年,我们致力于在我们的业务所在国家的新建建筑中实施最合适的绿色建筑认证,例如BREEAM、LEED(能源和环境设计领导力)和G—eed(能源和环境设计绿色标准)。
2022年,在荷兰、威尔顿及台湾执行的主要项目节省了约19TJ:
–2.9每年通过进一步运营48.46亿美元2我们在Veldhoven的校园安装了太阳能电池板
–通过完成我们最大的项目,荷兰将于2022年节省11 TJ。这将导致每年节省约11 TJ在未来几年
–威尔顿通过更换制冷机为新的高效变速制冷机,节约了3焦耳,降低了能耗
–3在台湾新竹,通过超时优化空调系统的使用,节省了TJ。
2.使用可再生能源
我们的目标是增加直接购买绿色能源(所谓的捆绑可再生电力)的份额,从我们的场所附近生产的可再生电力。
在荷兰,我们现在正处于为期10年的绿色电力购买协议的第二年,这将使我们能够实现在该国使用100%可再生电力的目标。2022年,我们在美国实现了100%的可再生能源。在亚洲大部分地区,虽然我们的目标是尽可能使用可再生能源,但我们在台湾和韩国采购可靠的可再生能源方面面临挑战。
3.补偿CO2排放
我们的目标是尽可能多地使用可再生能源。如果不可行,我们将购买自愿减排证书(VER)。
2022—2025年行动计划
我们将继续在台湾和南韩采购可再生能源,并将利用抵销作为后备方案,以达到我们的净零目标。我们走上正轨,没有理由调整目前的目标。在未来几年,我们计划在EMEA、美国和亚洲的工厂扩大太阳能电池板的使用。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 81 |
| 能源效率和气候行动(续) | | | | |
| 能源管理和碳足迹:供应链、商务旅行和通勤(范围3) | | | | |
我们的方法
我们认识到,环境影响超出了我们的运营范围。总体而言,我们价值链(范围3)中的大部分环境影响来自供应商(上游)的温室气体(GHG)排放和客户(下游)对我们产品的使用。
我们的目标
我们的总体范围3目标是将强度水平(符合我们的SBTi承诺)降低到1,016吨CO2到2025年,每百万欧元的毛利。与2019年相比,到2025年,强度下降了35.3%。强度以范围3的总排放量(单位:吨CO2(e)归一化为总毛利(欧元,百万美元)。
我们正在努力在2030年前将上游排放量减少至净零。这一目标的一个要素是商务旅行和通勤,我们已经为此设定了到2025年的净零目标。
我们在2022年的表现
我们2022年的范围3密度为1,110吨CO2每百万欧元毛利(与二零二一年相似)。我们的研究结果表明,上游和下游价值链的间接范围3排放量占总排放量的1190万吨,占总排放足迹(范围1、2和3)的99.7%。在这1190万吨中,740万吨为价值链的“下游”间接排放(在我们的客户现场使用销售产品),450万吨为“上游”排放(主要与我们购买的商品和服务有关)。
我们在2022年的行动
改善我们的范围3排放数据质量
我们使用《温室气体议定书》的指南计算我们的范围3排放量,该组织提供了广泛使用的国际排放报告标准。我们不断寻求改善范围3计算的数据质量。过去数年,我们报告范围3排放数据的时间为一年,但在二零二二年,我们努力更及时地收集排放数据。对于2022年,我们现在能够报告9个月的实际数据和3个月的估计数据。于二零二三年报告年度,我们将以二零二二年全年实际数据调整二零二二年报告数字。
提高数据质量的下一步是在范围3的计算中纳入实际供应商排放数据。这将使我们能够获得更可靠的范围3排放数据,因为对于供应商数据,我们目前使用基于支出的方法计算排放。2022年,我们通过要求CO取得进展2e通过我们的供应商可持续发展计划直接从我们的供应商获得的排放数据。2022年的排放量计算中没有使用该数据。认识到我们依赖供应商,我们也鼓励价值链合作伙伴与我们合作,共同减少我们的碳足迹。
改善准入和流动性
我们也在关注流动性。例如,我们Veldhoven校区超过50%的员工居住在不到30分钟的车程内,我们的Access & Mobility(A & M)计划专注于开发可持续的通勤选择,我们正在与员工合作,鼓励,激励和支持改变通勤习惯。我们提供多种选择,包括骑自行车奖励、免费公共交通、拼车和穿梭巴士,所有这些都由各种在线应用程序支持。
2022—2025年行动计划
我们仍在努力实现我们的总体范围3目标。我们的供应商可持续发展计划是我们努力进一步减少范围3排放的关键推动因素。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 82 |
| 能源效率和气候行动(续) | | | | |
| 能源管理和碳足迹:我们客户的产品使用(范围3) | | | | |
我们的方法
随着对增强芯片功能的需求增长,整个微芯片图案化工艺(包括光刻系统)的复杂性和能耗也在增加。
EUV光源是我们当前工程设计工作的重点领域,以降低能耗,因为它需要EUV系统总能耗的较大部分。我们的路线图包括优化CO的顺序2激光器,以产生用于产生EUV光的等离子体,例如通过转动CO,2当系统处于空闲模式时,激光器关闭,并在曝光之间降低激光器的发射强度。我们的长期目标是最终阻止CO2激光发射两次曝光。根据我们的研究团队和供应商的可行性研究,我们知道要保持激光束的稳定将需要校正硬件,这将是下一代(NXE:3800)基线配置的一部分。
我们与供应商合作,还确定了使用更高温度的冷却水来消除EUV光源和电子柜中的热量的方法。为此,我们需要确保驱动激光器等模块能够在更高的冷却水温度下运行—该项目目前正在与供应商合作开发中。
通过使EUV光学器件能够处理更高的强度,可以在相同的能量输入下实现更高的生产率,从而提高效率。这就是为什么我们正在开发能够应对更高EUV强度的材料和涂层,并改善光学元件的热管理。这包括晶圆本身,晶圆在生产过程中通过暴露于EUV光而升温。
我们认识到,应对所有这些挑战需要在我们由客户、供应商和知识机构组成的创新生态系统内持续创新和协作。
我们的目标
我们设定了一个目标,即到2025年将我们未来一代EUV系统的总体能耗与2018年基准型号(NXE:3400B)相比降低10%,同时提高生产率。我们还设定了一个目标,即与2018年的基准(NXE:3400B)相比,将每个曝光晶圆的能耗降低60%。
我们在2022年的行动
我们一直致力于降低能源消耗成为我们产品生产流程(PGP)的一部分。在设计新系统时,减少能源使用正成为一个越来越重要的方面,同时考虑成本、性能和可用性。
2022年,我们继续致力于提高未来产品的能效,这需要较长的交货期,并需要数年时间才能实现。每季度监测这些项目的进展情况。我们相信,我们有望实现到2025年将EUV系统能耗降低10%的目标,并将NXE:4000的每片曝光晶圆能耗降低60%。
2022年,我们证明了NXE:3600D系统的能力,可达到175瓦/小时的生产力目标(与目前的规格160瓦/小时相比)。2023年,这将以NXE:3600 PEP—D封装形式推向市场。
我们已经开始更好地评估我们其他产品系列的能效—DUV、计量和检测、计算光刻和扫描仪以及过程控制软件解决方案。
关于2040年实现净零排放的范围3产品使用倡议,我们是半导体气候联盟的创始成员之一和积极贡献者,该联盟成立于2022年11月,专注于加快产业价值链努力,减少温室气体排放。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 83 |
| 能源效率和气候行动(续) | | | | |
下表概述了系统在产出方面取得的成就以及为实现这一产出而改进的能源效率。
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | |
| 站台1 | DUV 浸没 | |
| 系统类型 | NXT:1980Di | NXT:2000i | NXT:2050i | NXT:1980 Ei | NXT:1960Bi + PEP—B | NXT:2100i | |
| 能源计量年 | 2015 | 2017 | 2020 | 2021 | 2021 | 2022 | |
| 能源消耗(兆瓦) | 0.14 MW | 0.14 MW | 0.13 MW | 0.14 MW | 0.13 MW | 0.14 MW | |
| 输出(WPH) | 275 | 275 | 295 | 295 | 250 | 295 | |
| 每次曝光晶圆的能耗(kWh) | 0.51千瓦时 | 0.51千瓦时 | 0.45千瓦时 | 0.48千瓦时 | 0.51千瓦时 | 0.46千瓦时 | |
| | | | | | | | |
| | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | |
| 站台1 | DUV 干的 | YeldStar | |
| 系统类型 | Xt:860M | XT:1460 | NXT:1470 | Xt:860N | NXT:870 | YS350E | 公司简介 | 公司简介 | |
| 能源计量年 | 2017 | 2020 | 2020 | 2022 | 2022 | 2017 | 2019 | 2020 | |
| 能源消耗(兆瓦) | 0.07 MW | 0.06 MW | 0.11 MW | 0.06 MW | 0.12 MW | 0.01 MW | 0.01 MW | 0.01 MW | |
| 输出(WPH) | 240 | 209 | 277 | 260 | 330 | 不适用 | 不适用 | 不适用 | |
| 每次曝光晶圆的能耗(kWh)1 | 0.28千瓦时 | 0.27千瓦时 | 0.38千瓦时 | 0.24千瓦时 | 0.36千瓦时 | 不适用 | 不适用 | 不适用 | |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | |
| 站台1 | EUV 20 mJ/cm2剂量 | EUV 30 mJ/cm2剂量 | | | |
| 系统类型 | NXE:3350B | NXE:3400B | NXE:3400C | NXE:3600D | | | |
| 能源计量年 | 2015 | 2018 | 2020 | 2021 | | | |
| 能源消耗(兆瓦) | 1.15 MW | 1.40 MW | 1.31 MW | 1.32 MW | | | |
| 输出(WPH) | 59 | 107 | 136 | 160 | | | |
| 每次曝光晶圆的能耗(kWh) | 19.49千瓦时 | 13.08千瓦时 | 9.64千瓦时 | 8.27千瓦时 | | | |
| | | | | | | | |
| 1.MJ中的剂量能量是指每厘米曝光所需的能量2. | |
2022—2025年行动计划
2023年,我们将继续致力于提高我们系统和其他产品系列的能效。我们仍在按部就班地实现范围3的总体目标。然而,考虑到产品组合的变化(销售的EUV系统数量增加),以及我们的产品单位产量预计将增加,整个价值链的整体排放量预计将上升。目前,我们认为没有理由调整2025年关于我们系统能源消耗的目标。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 84 |
| 能源效率和气候行动(续) | | | | |
| | | | | |
| | | | | | | | |
| | |
| 使用EUV的先进图案有助于限制能源和水使用以及温室气体排放的增长 | |
更先进的微芯片意味着更小的特征,这需要在光刻中使用更短的波长来制造它们。例如,对于193 nm的DUV光的单次曝光,微芯片图案的图像的最小特征达到了约40 nm的物理极限。然而,通过使用相同图案的两次或更多曝光,即所谓的多重图案化,可以通过两次曝光在20 nm处成像细节,或者通过四次曝光和附加工艺步骤在10 nm处成像细节。
在过去的几十年里,带有DUV的多重图案已经成为半导体制造的主流,但代价是必须多次经历相同的工艺步骤,这增加了生产周期时间和对环境的影响。
与DUV相比,13.5 nm的EUV实现了更高效的芯片制造工艺。由于EUV系统的分辨率更高,可以用一次曝光和更少的工艺步骤来取代多次曝光和工艺步骤,以对芯片的某一层进行图案制作。根据IMEC进行的一项研究,EUV使一些关键层的非光刻加工步骤减少了多达三到五倍,从而显著缩短了生产周期。由于沉积、蚀刻和清洁步骤的数量较少,制造厂还受益于减少能源和水的使用。
我们EUV系统的生产率不断提高,使得更先进、更节能的微芯片能够更快地制造出来。因此,与使用仅DUV图案化所需的复杂多图案化策略相比,使用EUV光刻每个晶片的总图案化过程的能量消耗将更低。
我们的下一代EUV系统EUV 0.55 NA(High-NA)将进一步缩小并部分消除双重曝光计划,再次用单一0.55 NA曝光取代多次0.33 NA曝光。因此,在EUV 0.55 nA的情况下,非光刻处理步骤的数量可以再次保持在限制范围内。这将有效地进一步限制每片晶片的图案化工艺的总能耗。
资料来源:M.Garcia Bardon等人,DTCO包括可持续性:电力-性能-面积-成本-环境得分(PPACE)分析for Logic Technologies,IEDM2020。
EUV系统能量的大部分用于操作激光产生的等离子体源以产生EUV光。直径约25微米的熔融锡滴从发生器中喷射出来。当它们移动时,液滴首先受到较低强度的激光脉冲的撞击。然后,一个更强大的激光脉冲蒸发扁平的液滴,并电离蒸发的锡原子,以产生发射EUV光的等离子体。使用锡滴从激光到EUV光的转换过程每秒发生50,000次,是最耗能的步骤。通过提高转换效率,我们可以降低EUV系统在恒定晶圆输出下的能耗。实现这一点,同时确保不会对EUV系统的其他功能产生负面影响,这是我们研发团队面临的一个关键挑战。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 85 |
| 循环经济 | | | | |
| 最大限度地减少浪费,最大限度地利用资源,从 我们使用的材料,并在产品的生命周期中重新利用我们的产品 | | | | |
| | | | | | | | | | | | | | |
| | | | |
| 315公斤 | | 75% | |
| 每欧元收入产生的废物(2025年目标: 209公斤) | | 回收率(不包括建筑)(2025年目标:90%) | |
| | | | |
| 95% | | 08亿欧元 | |
| 过去30年销售的系统中仍在现场使用的百分比(2025年目标:> 95%) | | 重复使用零件产生的节余 | |
| | | | |
| 87% | | €232m | |
| 从现场和工厂返回的零部件的再利用率(2025年目标为95%) | | 报废部件和包装的价值 | |
| | | | |
| 6,675 t | | | |
| 运营产生的总废物(不包括建筑) | |
| | | | | | | | | | | |
| | | |
| 在本节中 | |
| 87 | 我们2022年的整体表现 | |
| 88 | 减少运营中的浪费 | |
| 91 | 重复使用零件和材料 | |
| 94 | 翻新成熟产品 | |
| 95 | 水管理 | |
我们的方法
在ASML,我们相信循环经济对于确保半导体行业未来的成功和竞争力至关重要。我们对循环经济的承诺是为了确保我们使用的任何材料都能为我们和我们生态系统中的合作伙伴保留和创造尽可能多的价值。我们的战略是消除浪费,以避免对地球的负面影响,并创造商业价值。为此,我们的目标是:
–减少运营中的浪费
–重复使用零件和材料
–翻新成熟产品
在不断创新我们的产品的同时,我们努力确保在我们的流程和价值链中越来越可持续地使用材料。我们的总体目标有两个:首先,我们的目标是结束零部件性能的学习循环,其次,我们的目标是消除浪费--无论是能源浪费还是我们在各个层面的运营中所需的材料。这种方法是我们公司结构的一部分,完全符合我们的价值观和文化。
我们在2022年进行的实质性评估中将我们对材料和资源使用的影响(重量)确定为一个新的材料主题--目前正在制定一个正式管理这一问题的程序。
| | | | | | | | | | | | | | |
| | | | |
| 循环经济 | |
| | | | |
| SDG目标 | | 我们如何衡量 我们的表演 | |
| | | | |
| SDG目标12.2
| |
| |
| 到2030年,实现可持续经营 和高效利用自然资源 | | –回收率 –供应商支出由可持续发展承诺(LOI)覆盖 | |
| | | | |
| SDG目标12.5
| |
| |
| 到2030年,通过预防、减少、回收和再利用大幅减少废物产生 | | –减少废物 –增加零件的重复使用率 –报废零件和包装的减少 –延长仍在现场运行的系统的使用寿命 | |
| | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 86 |
| 循环经济(续) | | | | |
为了执行我们的循环战略和实现我们的目标,我们定义了一套原则,指导我们不断努力减少运营中的浪费,重复使用安装基础中的部件和材料,并通过翻新回收成熟产品:
–我们学习如何提高我们对资源和废物流的理解和数据。
–我们重新考虑设计和流程,以避免对环境造成影响。
–我们延长了系统的寿命和生产率,以最大限度地提高资源价值。
–我们在自身价值链中重新利用资源,以尽量减少废物流。
–我们回收材料是为了赋予资源新的生命,如果我们自己不能再利用这些资源。
下图说明了我们的循环经济方法。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 87 |
| 循环经济(续) | | | | |
| | | | 已步入正轨或达到目标• 持续的重点领域n | |
我们2022年的整体表现
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | 进度跟踪 | |
| 主题 | | | 2025年目标 | | 绩效指标 | 2020 | 2021 | 2022 | 状态 |
| | | | | | | | | | |
| 循环经济 | | | >95% | | 过去30年销售的系统中仍在现场使用的百分比 | 不适用 | 94 | % | 95 | % | • |
| | | 95% | | 从现场和工厂返回的部件的再利用率 | 不适用 | 85 | % | 87 | % | • |
| | | | 无目标 | | 重复使用零件的节省(欧元,百万)1,2 | 551 | 686 | 781 | 不适用 |
| | | 无目标 | | 报废部件和包装的价值(欧元,百万美元)2 | 不适用 | 269 | 232 | 不适用 |
| | | 209公斤/欧元 | | 运营废物总量(不包括建筑)按收入标准化 | 360 | 305 | 315 | • |
| | | 90% | | 回收率(不包括建筑) | 85 | % | 77 | % | 75 | % | n |
| | | 无目标 | | 运营废物总量(不包括建筑)3 | 5,026 | 5,679 | 6,675 | 不适用 |
1.本报告指标遵循上一年指标"再使用部件价值(百万欧元)"的原则:但是,方法和范围有了修改:
–就再用零件而言,价值部分已由100%标准成本价修订为100%标准成本价减标准翻新成本。
由于这一指标的范围扩大,比较数字已重新计算,以反映公允列报。
2.有限部分的数据并不容易获得,因此表中的数字是最佳估计数,其中包含一些不确定性。
3.建筑废物不包括在这一指标的计算中,因为这些废物并非来自ASML的日常运作。建筑废物的数量往往在多年来波动,因此可能使指标的趋势不明确。
有关我们的绩效指标(PI)和相关结果的更多信息,请阅读:
非财务报表—非财务指标—循环经济。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 88 |
| 循环经济(续) | | | | |
| 减少运营中的浪费 | | | | |
我们的方法
管理来自我们运营的废物是一个复杂的问题,依赖于对进出ASML的废物流的详细和准确的了解。我们透过适当分类、分类及安全处置废物。废物处置由废物供应商根据当地法例进行。
我们所有的废物供应商都获得了地方当局的废物处理认证,在我们的合同中,我们声明他们需要
遵守当地法律。我们的目标是进一步改善我们监督这些供应商遵守当地法律的方式。废物数据通过我们的myEHS系统进行管理,我们所在地区的废物供应商的信息与相关的支持文件(发票)一起输入到该系统中。
输入的数据由内部核查,并由独立的一方对照证明文件进行核对。
我们的目标
我们制定了两个雄心勃勃的目标,以减少我们的运营中的浪费:
–到2025年,我们的目标是将废物产生减半
(每欧元m收入产生209公斤废物,而2019年基准是每欧元m收入产生417公斤废物)。
–到2030年,我们的目标是将运营过程中产生的垃圾零排放到垃圾填埋场或焚烧炉。
我们在2022年的表现
2022年,我们的运营产生了6913吨垃圾(包括建筑垃圾),其中75%被回收(2021年为77%)。在2021年回收率大幅下降后,2022年回收率下降了两个百分点。这一小幅下降在很大程度上是由于数据的改善对我们的废物流产生了影响。
与2021年相比,垃圾总量增加了近18%(从2021年的5878吨增加到2022年的6913吨)。这主要是由于随着新冠肺炎措施的取消和我们产量的增加,全球有更多的人在现场工作。
垃圾总量(不包括建筑)为6675,比2021年的5679增加了18%。2019-2021年,我国垃圾强度呈下降趋势。2022年,我们的浪费强度为每欧米收入315公斤,略高于2021年的每欧米收入305公斤,但仍低于新冠肺炎之前的浪费强度(2019年每欧米收入417公斤,2020年每欧米收入360公斤)。然而,为了实现每欧元收入209公斤的目标,我们需要加大努力,从绝对值上减少我们的废物流,提高我们的回收利用率。
我们从运营到填埋或焚烧的垃圾占运营垃圾总量的25%(与2021年的23%相比)。我们需要加倍努力,以实现我们的雄心勃勃的目标,即从运营到填埋或焚烧都不产生废物。
下面通过不同的废物流更详细地解释我们的废物的减少。
了解我们的废物流动
在我们的行动中,主要的废物来源是:
–非危险废物,如包装材料、因升级或缺陷而产生的部件产生的与产品相关的废物以及一般废物。这一类别还包括建筑活动产生的建筑垃圾。
–危险废物,例如我们在制造过程中使用的化学品。
废流的分布
(总计:6913吨)
| | | | | | | | | | | |
| | | |
| | 非危险废物回收利用 | 71 | % |
| | 无害废物处置 | 24 | % |
| | 危险废物回收利用 | 4 | % |
| | 处置的危险废物 | 1 | % |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 89 |
| 循环经济(续) | | | | |
2022年我们的无害废弃物表现
于二零二二年,无害废弃物占我们总废弃物的95%(二零二一年:93%(5,483吨)),其中绝大部分废弃物已回收利用(75%)。
无害废物的分配
(总计:6,533吨)
| | | | | | | | | | | |
| | 木材 | 31 | % |
| | 一般废物 | 24 | % |
| | 纸和纸板 | 13 | % |
| | 电子学 | 6 | % |
| | 金属 | 7 | % |
| | 其他非危险废物 | 5 | % |
| | 塑料 | 5 | % |
| | 有机废物 | 5 | % |
| | 建筑垃圾 | 4 | % |
2022年我们的危险废物表现
我们的产品和系统的生产和操作需要使用有害物质。危险废物包括灯、电池、危险液体、危险材料的空包装以及清洁湿巾和过滤器。液体,包括丙酮和硫酸,构成了我们的大部分危险废物流。
使用有害物质意味着我们须遵守与环境保护以及员工及产品健康与安全有关的各种政府法规。这些措施包括危险物质的运输、使用、储存、排放、处理、排放、产生和处置。
于二零二二年,有害废物占我们产生的废物总量的5%(380吨),而二零二一年则为7%(395吨)。其中81%被回收。
危险废物的分配
(总计:380公吨)
| | | | | | | | | | | |
| | 危险液体 | 91 | % |
| | 其他危险废物(如包装、过滤器、灯具等) | 6 | % |
| | 清洁擦巾 | 2 | % |
| | 电池 | 1 | % |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 90 |
| 循环经济(续) | | | | |
我们在2022年的行动
非危险废物
我们致力于通过多个正在进行的项目减少无害废物,例如:
–跨部门再利用计划,在2022年为我们的循环流程中增加了4亿欧元的可重复使用部件价值。我们计划在2023年再增加4.5亿欧元。
–循环IT生命周期:经过四年的使用,我们为组织中使用的所有正常工作的计算机和笔记本电脑提供了第二次生命。对于有缺陷的电脑,我们会回收干净、分离的回收塑料、铁、钢、铜、铝、玻璃和贵金属。
–灵活的洁净室:这些洁净室可以在不同地点之间移动并快速组装,同时提供与我们目前固定洁净室相同的标准和性能。灵活的洁净室设置中使用的材料超过95%是可重复使用的,使用寿命超过30年。
–建筑废物:随着我们的业务扩展,我们努力确保ASML建筑活动产生的废物尽可能回收。建筑废物占二零二二年产生的废物总量的3%(238吨)(二零二一年则为3%),其中67%已循环再造。在房地产投资组合管理中,我们采用BREEAM标准,强调通过材料的循环使用实现可持续性。
–在威尔顿,当地团队与供应商和废物供应商合作启动了一个回收方案,通过该方案,个人防护设备(例如手套、发网、面罩等)现在被回收而不是被丢弃。
改善我们的危险和
无害废物流
于二零二二年,我们调整了台湾的废物流数字,原因是正式报告与我们本身对废物流的定义不符。这导致二零二二年的整体回收率下降(75%,较二零二一年的77%)。
我们通过增加对位于Veldhoven的主要生产基地的废物量的实际测量,提高了废物报告的准确性。我们还在研究如何提高我们在美国和亚洲的网站的数据质量。
在改善数据的背景下,我们的目标是在2023年将第三方仓库产生的ASML废物纳入其中,作为将下游废物纳入其中的第一步—我们已经准备好了所需的流程,以实现相关数据收集。在我们的校园里,我们的目标是确保现场最大限度的废物分离(为了废物供应商更容易回收),我们正在努力获得协议,包括在合同与废物供应商,以最大限度地回收。
2022—2025年行动计划
尽管我们采取了多项减少废物及╱或提高回收率的措施,但我们仍未能如期实现废物回收目标。这主要是由于数据改进流程及较二零二零年更多报告地点所致。为了实现我们的目标,我们目前正在调查我们的废物对环境的影响,与供应商和废物供应商合作,并确保与废物供应商的新合同包含可持续性要求。我们目前认为没有理由调整我们的目标。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 91 |
| 循环经济(续) | | | | |
| 重复使用零件和材料 | | | | |
我们的方法
我们致力于在价值链中重复使用系统部件、包装和工具,以减少和防止浪费,同时降低成本。我们相信,重复使用是一个学习的机会:通过重复使用,我们可以更多地了解零件的性能以及现有工艺如何影响零件。通过在设计和流程中实施这些学习,我们可以为价值链中的所有人改善部件和系统性能。重要的是,我们继续与客户和供应商密切合作。
我们的目标
我们的总体目标是到2025年将ASML工厂和现场的缺陷零件再利用率提高到95%。
为了实现我们的目标,我们专注于:
–在开发的早期阶段注重更坚固和可维修的设计,实现可重复使用的设计
–将运输包装和材料退回给我们的客户,以供重复使用
–在当地维修中心进行维修,通过缩短根本原因分析和维修的周期来提高零件维修率
–再制造模块和部件从现场恢复为新的质量,也可用于新的构建系统
–通过拆卸回收报废部件以重复使用子部件
我们在2022年的表现
于二零二二年,我们的不良零件再利用率为87%(二零二一年为85%)。我们从重复使用的零件中节省了7.81亿欧元,报废零件和包装的价值为2.32亿欧元。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 92 |
| 循环经济(续) | | | | |
我们在2022年的行动
可重复使用的设计
2022年,我们继续将重用进一步整合到我们现有的设计方法和工具中,例如在我们的产品生成过程(PGP)中。防止浪费的这一关键要素将帮助我们实现我们的长期目标。
重复使用要求现在是核心产品设计策略和规范的一部分。例如,通过我们产品及其部件的模块化设计,我们确保未来的升级、磨损部件和部件可以作为一个单元进行更换。通过确保部件设计过程中的通用性,一个部件可以在产品中的多个环境中使用,甚至可以在未来的产品代中使用。
管理反向流量以供重复使用
2022年,我们成立了一个专门的逆向物流团队,以推动我们的反向物流减少浪费-从现场和工厂返回到我们或我们的供应商的材料。该团队的目标是帮助支持我们的重复使用努力,减少逆向物流和维修提前期,并提高整体重复使用率。
我们正在继续努力解决重复使用执行中的瓶颈问题,并澄清整个业务的方向、指导方针和重复使用规则。
运输材料再利用退还
当模块和系统发货时,无论是从我们的供应商到我们的工厂,还是从我们的工厂到我们的客户,都需要使用许多运输材料--如包装、锁定和插头材料--以确保产品安全到达。这些垃圾不会被丢弃,而是会被重新利用。在这些部件被退回以供重复使用之前,它们要经过识别过程和质量检查,然后是将它们带回供应链所需的后勤和财务过程(要么是原始模块供应商,要么是ASML)。我们的目标是将这些流程标准化,并创建与网络相关的解决方案,以实现高度灵活性并减少传输,从而降低我们的CO2E足迹。
我们正在改进来自现场和工厂的包装、锁定和运输材料的重复使用,目标是在下一次安装或搬迁时退回和重复使用80%或更多。
当地维修中心
我们正在扩大本地维修中心的数量,用于翻新、维修或清洁服务部件、包装和工具,我们正在建立全球工厂材料维修中心。2022年,当地维修中心处理的价值增长了四倍,预计2023年将再次增长三倍。2025年,我们的目标是,10%送到现场的零部件应在当地维修。
目前,我们在韩国和中国都有当地的维修中心,我们正在为所有客户地区推出计划,最终将一个或多个到位。我们在林口开设了一个全球维修中心,并将在威尔顿和圣地亚哥(美国)以及荷兰Veldhoven(荷兰)的工厂中心建立更多的全球维修中心。
我们的维修中心与当地材料供应商和专业维修合作伙伴合作,创造了一个当地的生态系统。通过开展维修和再利用活动,并在离客户近的现场拥有维修所有权,我们能够减少物流时间、库存部件成本以及对环境的影响(通过减少废料和废物以及温室气体排放)。我们的客户受益于降低的服务成本和提高的材料可用性。
一个单一的质量标准,为新的,
重复使用零件
当一个零件被重复使用时,我们的客户希望它与原来的新零件一样好或更好。我们有一个单一的鉴定标准和要求,以确保相同的规格、性能要求、保证等适用于新部件和重复使用部件。我们希望我们的供应商也能全力满足这一标准。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 93 |
| 循环经济(续) | | | | |
我们在2022年的再利用方面的成就
我们简化了废料审批程序。首先,每个电子废料申请都附有一份由再利用团队提出的建议,概述哪些部件仍然可以再利用,由发起人在申请获得批准之前进行评估。第二,自动验证步骤确保正确的后续行动到位,这减少了废料处理的交付时间。我们已经在Veldhoven实施了这一进程,并正在为其他地点制定推广计划。
重复使用被认为是我们提高能力以应付强劲客户需求的关键因素。通过从库存中检索部件,或通过维修或回收,我们能够在工作中心执行大量额外的模块构建启动,这反过来有助于加快我们在整个公司内嵌入重用的努力。
例如,在2022年,我们成功地证明了由荷兰供应商Lamers(Aalberts Advanced Mechatronics的一部分)制造的外部接口模块(EIM)可以在新建的系统中进行再制造、重新鉴定和再次使用,质量与新产品一样好或比新产品更好。EIM用于调节进入我们的TWINSCAN XT和NXT系统的气体流量或压力。在这种情况下,重复使用可节省约200公斤的废物,每个EIM节省4万至5万欧元。
我们还创建并实施了一个再利用锡捕桶的流程,这些模块用于EUV系统的光源。我们把它们拿回来,拆开,把罐子放干再用。之后,清洁后的模块就像新的一样,可以在EUV系统中重复使用。
另一个开创性的重复使用的例子是EUV掩模模块(REMA),空白了未使用的部分的掩模。从我们的客户那里返回的这些模块的旧版本被收集用于构建新的REMA模块的部件。这有助于降低我们供应链的压力,确保供应商对这些模块的产出,并减少废物和碳足迹。从这个项目中学到的知识被捕获并嵌入到我们的开发工作方式中。
我们还开始重新使用电子柜,我们从现场的系统升级中回收的剩余物,这些通常会被废弃。翻新的电子机柜具有全新的质量,因此可以为客户集成到新的系统中。
威尔顿EHS海外CRE再利用计划是再利用如何带来关键效益的另一个例子。当员工或部门有一件设备或家具处于良好的状态,可以在现场重复使用,该物品的图片会放置在CRE重复使用威尔顿SharePoint页面上。如果ASML的员工看到了他们可以使用的东西,他们会联系CRE EHS,我们的技术人员将交付该物品。因此,我们不再废弃工作台、橱柜或机器,而是在现场重新使用这些物品。
我们通过加强供应商可持续发展计划,进一步落实我们的重复使用承诺。
更多内容请阅读:
社会—我们的供应链。
重复使用挑战和路线图
于2022年,我们在再利用方面继续取得良好进展,并继续致力于进一步减少废物流。建立一种再利用的心态,并将其融入正常的工作方式,对于实现再利用和防止废品至关重要。例如,我们将工厂的废料箱换成我们现在所说的“再利用收集角”,鼓励员工认为废旧零件具有潜力,而不是被视为废物。
然而,为了充分落实我们的再利用愿景,我们认识到有几个挑战需要克服,程序需要确定。这些措施包括:
–配置控制:在系统中重新使用新部件需要对这些部件进行可追溯性。这意味着我们需要能够追溯零件的历史,它来自哪里,并知道它被使用和修理了多少次。
–组织:在我们的运营中,有各种与退货和再利用相关的独立流程。我们需要将这些与整体端到端再利用流程相一致。
–维修工程及工艺:我们新的重点之一是提高对可重复使用设计的认识,并定义如何在重新设计和工程变更中纳入可重复使用的流程。
作为下一步,我们已开始在荷兰Veldhoven建设一个专门的全球再利用中心,以促进各种维修和收获活动。我们预计,更大的安装基础将带来更大的重复使用流入。这是我们战略的一部分,即从作为构建工作中心的一部分的复用活动(这可能会让正在构建模块的团队非常分散和困惑)转向创建专用的复用中心,这将有助于我们创建更多的复用输出。
2022—2025年行动计划
今年,我们更详细地确定了2025年的目标。鉴于上述行动计划,我们认为没有理由调整2025年目标。展望未来,我们的目标是将包装数据纳入我们的“重复使用零件节省”指标。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 94 |
| 循环经济(续) | | | | |
| 翻新成熟产品 | | | | |
我们的方法
我们的方法是在过去30年中销售的95%以上的系统仍然活跃在该领域。
一个维护良好的ASML光刻系统可以使用数十年,并可以由多个工厂使用。许多ASML光刻系统开始于尖端的工厂。一旦工厂需要升级,光刻系统就可以在制造商需要相对不那么复杂的芯片,如加速度计或射频芯片的工厂中获得新的生命。
我们的翻新策略侧重于回购无法在现场运行的系统、从退役系统中回收零部件以及管理零部件的持续可用性,这是我们为系统提供的延长使用寿命服务的关键。我们为客户提供至少到2030年的保证服务路线图。这意味着,他们维护系统所需的所有支持、必要服务和备件预计至少到2030年及以后都能提供。
对于仍在运行中的TWINSCAN AT系统,我们专注于采取措施来主动管理其寿命终止。我们尽最大努力保证尽可能长的时间提供备件。
我们在2022年的表现
我们的成熟产品和服务(MPS)业务专注于以下产品系列的翻新:PAS 5500(在全球客户现场约有1,800个系统)、TWINSCAN XT系统,以及截至2021年的NXT:1950—1980系统。截至二零二二年底,我们翻新及转售超过540台光刻系统。在过去30年中销售的系统中,约有95%仍在现场使用,我们的目标是到2025年达到95%以上。我们正朝着实现这一目标的方向前进。
我们在2022年的行动
我们正在进行大量投资,以确保持续供应超过2,000个服务部件,无论是通过重新设计,零部件回收策略,还是寻找具有相同形式、匹配和功能的替代品。在这种方法不起作用的情况下,我们通常可以通过最后一次购买(Last Time Buy)来确保组件的安全,这是供应商在生产转向其后续产品之前对某个部件或组件的"最后一次调用"。随着时间的推移,当零件不再可用时,我们会重新设计零件。
我们会跟踪我们产品组合中的备件,了解它们的使用情况,并确定我们预计何时会用完这些备件。对于PAS系统,我们使用此信息更新重新设计部件的优先级。对于TWINSCAN AT系统,我们的目标是通过从客户退役的系统中获取零部件来继续提供零部件。
为了确保在下一个十年内备件的可用性,我们需要用基于最先进技术的部件替换许多使用20世纪80年代和90年代技术设计的部件。这涉及对这些部件的彻底检修。在未来几年,我们已经确定并计划执行100多个重新设计项目,涉及近300个零部件。这对电子零件尤其重要,因为电子零件的技术发展比任何其他领域都要快。
2022—2025年行动计划
没有额外的行动,因为我们正在努力实现95%的目标。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 环境保护续 | 战略报告 | 治理 | 金融类股 | 95 |
| 循环经济(续) | | | | |
| 水管理 | | | | |
水管理
半导体制造过程需要大量的水。由于气候变化,干旱变得更加极端和不可预测,这可能导致水在特定地点成为稀缺资源。虽然水是我们客户半导体制造工艺中的重要资源,但我们自身运营中的用水量有限。ASML的产品主要以三种方式使用水。首先,水用于去除热负荷,以保持系统在恒定的温度。这些内部冷却回路均设计为"闭环"(再循环)系统。其次,这些热负荷最终由冷却塔去除,使用(低质量)水的蒸发。第三,DUV系统在浸没式罩中使用超纯水——这些水目前仅部分回收。
ASML的用水量仅为半导体行业大多数公司用水量的一小部分。然而,我们在整个公司提倡负责任地使用水。我们于二零二二年的用水量由二零二一年的1,041,000立方米增加至1,161,850立方米。这一增长主要归因于Veldhoven使用更多的冷却水,这是由于生产的系统数量增加和2022年天气变暖所推动的。此外,与二零二一年相比,在办公室及工厂工作的人数增加。在圣地亚哥,HVAC冷却塔水清洁度设定值被修改,从而增加了系统的自动冲洗。
虽然供水中断可能对我们的部分客户构成重大风险,但ASML的水相关风险有限。我们有七个生产基地,分别位于荷兰的Veldhoven、美国的San Diego、美国的Wilton、林口和台湾的台南。
更多内容请阅读:
我们的TCFD建议—气候相关披露,可在www.asml.com上查阅。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交 | 战略报告 | 治理 | 金融类股 | 96 |
| 社交概览 | | | | |
| 我们的目标是让员工、我们周围的社区以及参与我们创新生态系统和供应链的每个人在社会中发挥积极作用。 | | | | |
| | | | | | | | | | | | | | |
| | | | |
| | | 我们要做的是 | |
| | | | |
| | | 作为一家跨国技术公司,我们直接或间接地影响了许多人的生活。我们希望在社会中发挥积极的作用——为我们的员工、我们的供应链、我们创新生态系统中的每个人以及我们周围的社区。
| |
| | | | |
| | | 我们的目标 | |
| | | | |
| | | 我们与利益相关者密切合作,共同实现我们四个重点领域的目标。
我们的目标是确保负责任的增长惠及所有人。为了保持我们的快速创新步伐,并确保我们作为一家公司的长期成功,我们需要吸引和留住最优秀的人才,并提供最佳的员工体验。我们的目标是成为有价值和值得信赖的合作伙伴,改善所有人的生活质量,并支持弱势社区的人们。
通过我们的重点领域,我们以一系列方式支持五个不同的可持续发展目标。 | |
| | | | | | | | | | | | | | | | | |
| 对所有人都有吸引力的工作场所 | | 阅读更多关于第97页> | |
| | | | | |
| | 可持续发展目标4和8 | | –激励统一的文化 –最佳员工体验 –加强领导 –确保员工安全 | |
| 确保包容性和公平的优质教育,促进人人享有终身学习机会/促进持续、包容性和可持续的经济增长、充分和生产性就业以及人人有体面工作 | | |
| | | | | |
| 我们的供应链 | | 阅读更多关于第109页> | |
| | | | | |
| | SDG 8和12 | | –供应商绩效和风险管理 –负责任的供应链 | |
| 促进持续、包容和可持续的经济增长、充分和生产性就业以及人人有体面工作/确保可持续的消费和生产模式 | | |
| | | | | |
| 创新生态系统 | | 阅读更多关于第118页> | |
| | | | | |
| | SDG 9 | | –研究与发展伙伴关系 –支持初创企业和扩大规模 | |
| 建设弹性基础设施,促进包容性和可持续工业化,促进创新 | | |
| | | | | |
| 我们社区的重要合作伙伴 | | 阅读更多关于第124页> | |
| | | | | |
| | SDG 4和11 | | –教育 –Arts & Culture –当地外联 | |
| 确保包容性和公平的优质教育,促进人人享有终身学习机会/使城市和人类住区具有包容性、安全性、复原力和可持续性 | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 97 |
| 对所有人都有吸引力的工作场所 | | | | |
| 为集体利益赋予个人权力,以确保我们的员工为我们工作感到自豪,并实现我们作为一家公司的雄心壮志。 | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | |
| 6.0% | | 37,643 | |
| 减员率 (2025年目标: | | 员工总数(FTE)1 欧洲、中东和非洲地区21,267 亚洲8871 7505美元 | |
| | | | |
| 78% | (-4%) | | 24% | |
| 对照基准的员工敬业度得分 (2025年目标-2%对表现最好的25%的公司) | | 性别多样性(女性流入百分比) (2024年目标:23%) | |
| | | | |
| 143 | | | |
| 民族 | | | |
| | | | | | |
| 在本节中 | |
| 98 | 我们2022年的整体表现 | |
| 99 | 激励统一的文化 | |
| 101 | 最佳员工体验 | |
| 106 | 加强领导 | |
| 107 | 确保员工安全 | |
我们的方法
我们的敬业、多样化和高技能的员工对我们组织的绩效和我们作为一家公司的长期成功至关重要。我们努力吸引世界顶尖人才,并专注于帮助他们充分发挥潜力。
ASML的人的愿景确立了我们对未来的抱负,支持我们的价值观和我们的立场:我们相互扶持,促进我们的增长,幸福和业务成功。
整个组织的每个人都在这一愿景中发挥着重要作用,但我们需要一个环境和工具,以支持更多样化和相互依赖的团队中的协作、知识共享和自主权。我们亦必须继续履行对持份者的承诺,并管理日常挑战,以吸引、引进、培养和留住人才。
为实现我们的长期人才愿景,我们专注于三个关键领域:
–建立统一的文化;
–提供尽可能好的员工体验;以及
–让我们的领导层发挥员工最好的一面.
在整个业务范围内,我们推动各种计划,为员工提供更大的自主权,在安全的环境中指导他们的发展和职业抱负,同时使我们的领导者能够支持公司的发展。
我们为促进人人有吸引力的工作场所所采取的方针载于下文。
1.该FTE编号不包括Berliner Glas(ASML Berlin GmbH)。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| 对所有人都有吸引力的工作场所 | |
| | | | | | |
| SDG目标 | | | 我们如何衡量业绩 | |
| | | | | | |
| 可持续发展目标4.3 | | |
| |
| 到2030年,确保所有妇女和男子平等获得负担得起的优质技术、职业和高等教育,包括大学教育 | | –雇员培训和发展指标 | |
| | | | | | |
| 可持续发展目标8.1 | | | | |
| 根据国情维持人均经济增长,特别是最不发达国家国内生产总值每年至少增长7% | | –财务业绩 | |
| | | | | | |
| 可持续发展目标目标8.2 | | | | |
| 通过多样化、技术升级和创新,包括通过注重高附加值和劳动密集型部门,实现更高水平的经济生产力 | | –员工敬业度得分 | |
| | | | | | |
| 可持续发展目标8.5 | | | | |
| 到2030年,实现所有妇女和男子,包括青年人和残疾人的充分和生产性就业和体面工作,实现同工同酬 | | –劳动力数据,包括多样性和包容性 –公平薪酬薪酬比率 | |
| | | | | | |
| 可持续发展目标目标8.6 | | | | |
| 到2020年,大幅减少未就业、未接受教育或未接受培训的青年比例 | | –雇员减员率 –新员工 | |
| | | | | | |
| 可持续发展目标8.8 | | | | |
| 保护劳工权利,促进所有工人,包括移徙工人,特别是妇女的安全和有保障的工作环境 移民和就业不稳定者 | | –员工安全指标 | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 98 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| | | 已步入正轨或达到目标• 持续的重点领域n | | |
我们2022年的整体表现
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | 进度跟踪 | |
| 主题 | | | 2025年目标 | | 绩效指标 | 2020 | 2021 | 2022 | 状态 |
| | | | | | | | | | |
| 吸引力 人人享有的工作场所 | | | 与基准持平 目标:业绩最高的25%公司低于基准2% | | 员工敬业度得分 | 80 | % | 78 | % | 78 | % | • |
| | | | |
| | | 无目标 | | 员工增长(新员工和率) | 1,932 (8%) | 4,373 (15%) | 7,130 (21%) | 不适用 |
| | | | | 减员率 | 3.8 | 5.4 | 6.0 | • |
| | | 20%(2024年) | | 性别多样性--女性流入职级13+的百分比 | 不适用 | 12% | 35% | • |
| | | 12%(2024年) | | 性别多样性--女性工作级别13+的百分比 | 不适用 | 8 | % | 10% | • |
| | | NL前10名 台湾前20名 S韩国前20名 美国前75名 中国百强 | | 对人才的吸引力(雇主品牌得分)1 | NL 10 台湾22 S韩国24 我们3 177 中国168 | NL 6 台湾6 S朝鲜2 14 我们3 177 中国148 | NL 4 台湾6 S朝鲜半岛不适用 美国159 中国188 | n |
| | | 0.16 (2022) | | 可记录事故率 | 0.18 | 0.17 | 0.18 | n |
| | | 目标是相对于表现最好的25%的公司的得分+/-3%)(2024) | | 包容指数 | 73 | % | 83 | % | 85 | % | • |
| | | 23%(2024年) | | 流入女性的百分比 | 23 | % | 21 | % | 24% | • |
| | | 无目标 | | 雇员总数 | 总计26,481 男性83% 女性17% 亚洲6,057 欧洲、中东和非洲地区14,714 5710美元 | 共计30 842人 男性82% 女性18% 亚洲7,430 EMEA 17,230 6,182美元
| 共计37 643人 男性80% 女性19% 未知1% 亚洲8871 EMEA 21,267 7505美元 | 不适用 |
| | | 无目标 | | 国籍数量 | 120 | 122 | 143 | 不适用 |
随着ASML的持续强劲增长,我们近年来管理了大量增加的员工队伍,受益于更加多样化的员工基础。然而,这种快速增长也带来了自身的挑战,因为组织变得越来越复杂,客户和利益相关者的期望也在增长。
有关所有相关绩效指标(PI)的更多吸引力工作场所,请参阅:
非财务报表—非财务指标—所有人都有吸引力的工作场所。
1.Universum雇主品牌排行榜:工科学生。
2.截至2021年,韩国的整体排名不再由Universum进行。2021年报告的结果基于定制排名报告。
3.美国的方法已更改,导致二零二零╱二零二一年重列,因此比较数字已根据整体品牌排名修订。这导致177分,而先前公布的2020年排名为99分,2021年排名为133分。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 99 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| 激励统一的文化 | | | | |
我们的方法
我们正在将ASML的身份深深扎根于组织中,帮助人们接受我们的价值观,并提供一个统一的方向,使人们能够熟悉我们的公司战略和宗旨。
我们的公司价值观—挑战、协作和关怀—确保我们都在一个共同理解的基础上工作,并在整个组织中平等适用。它们帮助我们做出让我们忠于自己的选择,并允许团队在发生摩擦时讨论自然领域。他们还确保我们平衡了使ASML走到今天的特点(坚持,“可以做”的态度和相信任何事情都是可能的)与正确的关注程度。
在这些核心价值观的基础上,我们的六个人原则指导和激励我们的决策,使我们的员工发挥最大的作用。这些原则是:明确和问责制、不断学习、包容、有利的环境、个人成长和信任。
我们认识到,我们的成功是由我们独特和多样化的团队推动的。作为一个平等机会的雇主,我们正在培养一支多元化和包容的员工队伍,以推动创新和加速我们的业务创造力。我们努力维护一个人人都感到被重视和尊重并能够充分贡献的环境。这帮助我们建立了一个文化多元化的组织,我们的员工代表了143个不同的国家。即使我们的团队拥有广泛多样的人才,我们仍然有机会更具包容性。我们的目标是让我们的员工代表现有的合格人才库。
我们的全球多样性与包容委员会成立于2021年,由高级领导人组成,他们代表ASML提供思想领导。该委员会由管理委员会的一名成员担任主席,向管理委员会提出多元化和包容战略,制定、促进和监督多元化和包容举措,并领导公司对我们目标的问责。我们还拥有一个全球多元化和包容团队,包括一名首席多元化官,负责推动ASML的多元化和包容性相关举措。
我们的多样性和包容性路线图融入了我们的人才战略,并专注于ASML的三个关键领域:领导力、文化和人才。这些支柱加强了我们与ASML更广泛社区的联系。通过以人才、文化和领导力为中心的活动,我们以可持续、互利的方式与社区互动,展示我们对多样性和包容性的关怀和承诺。
我们知道,培养员工的期望和观点与全球D & I战略之间的联系非常重要。ASML员工网络——例如针对神经发散型员工的非典型网络和针对LGBTQIA+社区的自豪网络——在这方面发挥着重要作用,我们鼓励每个人都参与进来。
| | | | | | | | | | | | | | | | | |
| | | | | |
| 我们的多元化和包容性战略 | |
| 我们的路线图侧重于三个关键领域: | |
| | | | | |
| 人才 | | | 领导力 | |
| 通过确保员工受到重视、得到反馈和支持来吸引和留住员工 可以发展他们的职业生涯 | | | 使我们的领导人能够展示承诺、问责和榜样行为,以推动 融入他们的团队 | |
| | | | | |
| | | 文化 | | |
| | | 培养和促进包容性文化,使员工具备挑战规范和加强协作的能力 | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 100 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| | | | | |
| | | | | | | | | | | |
|
24% |
到2022年,我们的新员工中有一半是女性 |
| |
85% |
2022年纳入得分 |
我们的目标
我们必须对自己负责,努力发展一个推动创新和创造力的包容性工作场所。因此,我们制定了一些指标,使我们能够衡量我们的方法的成效。这些目标是:
–到2024年,女性新雇员人数达到23%
–到2024年,领导层中女性达到12%
–到2024年,妇女进入领导层的人数达到20%
–在2024年我们的包容性员工调查得分中,与表现最佳的全球25%公司的得分持平+/—3个百分点。我们的目标是不断达到或提高这一包容水平。
有关我们监事会和管理委员会多元化的更多信息,请参阅:
公司治理—其他与董事会有关的事项—多元化。
我们在2022年的表现
2022年,我们在各个层面(包括个人贡献者和高层领导者)的性别多元化方面取得了进展。女性雇员目前占我们全球劳动力的19%,比去年提高了一个百分点。我们的目标是在迈向2024年时继续保持这一上升趋势。
为此,我们专注于现有团队成员的成长,并扩大人才库的多样性。2022年,24%的新雇员为女性。
目前,女性在领导层的代表性为10%,而我们的目标是到2024年达到12%。为了使这一点切实可行,我们制定了一个目标,即增加女性领导人的招聘和晋升,从2021年的12%增加到2024年的20%。2022年,女性领导人的流入率为35%。
这批有才华的女性员工将成为“榜样”,为更多人效仿铺平道路。我们相信,促进员工队伍的多样化将有助于我们吸引和留住聪明、有才能的人才,使我们能够推动满足客户需求的技术创新。
总体而言,全球STEM(科学、技术、工程和数学)人才库人口稀少,招聘女性人才更具挑战性。我们的研发人员中有16%是女性。我们近90%的工作岗位与STEM相关,而高科技行业的同行则有更多非STEM相关的工作岗位。ASML非常希望看到更多的女性现在和未来从事工程和科学事业。我们行业的高度专业化性质意味着实现这种平衡是一个长期的过程。
我们@ ASML是我们的内部员工调查,每年都会衡量包容度。2022年,我们的纳入得分为85%,比全球表现最佳公司的基准高出1个百分点。我们的目标是不断达到或提高员工的包容度。
我们在2022年的行动
为了促进员工队伍的多样性和包容性,我们正在制定和实施旨在实现可衡量和可操作成果的计划。于二零二二年,我们:
–为全球约1,000名员工、经理和领导者提供了20多个D & I内部培训课程,包括虚拟和面对面的培训。
–致力于扩大我们的人才渠道,使其在所有人口统计领域更加多样化和包容,并拥有一个代表现有合格员工队伍的员工基础。为了帮助实现这一目标,我们参加了在美国举行的国家工程会议,
例如全国黑人工程师协会(NSBE),西班牙裔专业工程师协会(SHPE),科学技术工程和数学(oSTEM)和女工程师协会(SWE)。
–与大学和组织合作,致力于建设多样性,创造专业发展和参与的机会。新的全球合作伙伴包括Out & Equal Workplace Advocates和Disability:IN。
–积极参与多个教育项目,以发展人才管道,部署多项举措,以促进未来女性人才库中的STEM教育。
–执行全球D & I参与活动,如国际妇女节、LGBTQIA+骄傲月和全球多样性月。
–举办了9次D & I活动,主题演讲者与黑人历史月、骄傲月、六月、西班牙裔传统月和全球多样性意识月等纪念活动同时举行,每次活动平均有460名员工现场出席。
–支持员工网络,通过指导计划,如美国企业合作伙伴,与当地骄傲组织合作,筹款活动和捐赠物品在当地社区回馈。
2022—2025年行动计划
于二零二二年,我们表现强劲,女性流入率为24%。由于这一结果,并认识到我们希望继续这一雄心勃勃的资金流入,我们已将2025年的目标确定为24%(与2022年的表现处于同一水平,但高于2024年的原定目标23%)。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 101 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| 最佳员工体验 | | | | |
我们的方法
我们希望在我们的所有站点为员工提供尽可能好的员工体验,使他们能够发展自己的才能,感受到尊重并尽最大的能力—这使我们能够吸引和留住最优秀的人才。
员工体验是员工在员工生命周期的每个阶段通过与公司的互动获得的所有经验的总和,从吸引和入职人才到减员。为此,我们专注于雇主品牌和员工参与度。
同样,员工敬业度取决于各种因素和活动,例如人才吸引和保留、入职经验、学习和发展、多样性和包容性、公平薪酬和劳动条件等劳动实践以及领导力。
这些计划对整体员工体验的总体影响通过We@ASML员工敬业度调查来衡量。
雇主品牌塑造
随着对顶级人才的需求逐年增加,雇主品牌化是确保ASML获得这一人才份额的重要战略。我们的强劲增长意味着我们需要雇佣大量员工。具有技术背景的高技能人才在劳动力市场上稀缺,竞争日益激烈。我们认识到,顶尖人才选择他们选择的雇主,而不是反过来。鉴于员工选择未来雇主的普遍趋势,潜在雇主拥有强大的价值主张是很重要的。
在招聘漏斗中,我们不断寻求提高认识、考虑和转换到工作岗位。我们的目标是通过了解我们的目标受众和他们对雇主的偏好来改进和专业化我们试图实现这一目标的方式。我们利用这些信息来改善我们的候选人体验,并推动沟通、计划和活动,使我们的人才获取团队能够快速招聘顶尖人才。
加入和发展我们的员工
一旦我们的员工上岗,至关重要的是加强并不断投资于他们,以预见不断变化的业务需求和劳动力市场的发展。我们使我们的员工能够对自己的个人发展负责,追求自己的职业抱负,并取得成功,提供量身定制的培训和发展计划。
ASML的支持性职业
我们一直在寻找改进我们如何帮助员工在ASML中寻找职业发展机会的方法。我们提供广泛的职业道路,并有各种工具来支持我们的员工的职业导航。
员工敬业度
员工敬业度对我们组织的业绩和公司的长期成功至关重要。
我们使用WE@ASML员工敬业度调查来衡量我们的活动对整体员工体验的总体影响。这项年度调查是收集和衡量员工反馈的重要工具。它提供了洞察力,使我们能够改善员工体验并完善我们的政策和流程。
为了衡量我们的价值观在组织中的根深蒂固程度,调查还包括了关于我们的文化和价值观的问题,这些问题超出了“什么”到“如何”的范围。
| | |
|
|
我们希望在我们的所有站点为员工提供尽可能好的员工体验,使他们能够发展自己的才华,感受到尊重,并尽其所能地工作。 |
|
|
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 102 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| | | | | |
工作惯例和报酬
我们希望为所有员工提供公平的劳动条件和社会保护,无论他们在哪里,也无论他们是签订固定合同还是临时合同。我们支持国际劳工组织(劳工组织)的原则,我们尊重所有雇员自行组建和参加工会、集体谈判以及参加和平集会的权利。
我们没有迹象表明,我们在限制ASML员工的结社自由和集体谈判自由的国家开展业务。我们努力遵守我们开展业务的每个国家的相关法律。在那些我们有员工代表的国家,我们与代表我们员工的不同组织定期进行对话。在这些对话中,话题由公司和员工代表提出并讨论。未被集体谈判协议直接涵盖的雇员的工作条件和雇用条款是根据其他集体谈判协议、劳动力市场发展以及特定国家的用法和习惯来影响或决定的。
当谈到薪酬时,我们的做法是公平和平衡。在我们的薪酬政策中,我们致力于性别平等,我们努力实现全球一致性,同时尊重当地市场的普遍做法。我们不断审查我们的薪酬与我们运营的每个地区的技术专业人员的市场基准相比如何,并在必要时改变我们的薪酬政策和水平。
远程工作
在大流行之后,我们认识到工作模式已经改变,我们希望继续对福祉、生产力和工作产生积极影响-- 我们人民的生活平衡。我们的目标是为ASML员工及其经理提供明确的指导,并帮助他们在远程工作和办公室工作之间做出正确的选择。远程工作既不是强制性的,也不是一种权利。作为一项全球指导方针,如果工作允许,员工可以远程工作,每周最多两个工作日。某些工作或部门可能会有例外。
从根本上说,ASML相信员工自己能够最好地管理自己的工作。与此同时,经理们负责有效地组织团队和公司的工作方式。这意味着员工和经理对根据我们的远程工作政策做出的选择负有共同责任。
福祉
关怀是我们在ASML的核心。在福利方面,这意味着确保我们支持我们的员工保持健康、高效和平衡的生活。毕竟,只有当每个人都能尽其所能时,我们才能作为一个组织蓬勃发展。在一个需求空前的时代,相互照顾,确保所有同事的福祉更加重要。这意味着建立和维护一个我们可以以正能量共同工作的环境。我们的福利框架将我们所有的福利活动结合在一起,但也使我们能够逐个区域地推动我们的倡议,以满足当地的需求。在ASML内部,我们从整体的角度看待幸福,我们努力将幸福融入每个人的日常工作中。我们已经确定了四个幸福维度--精神、身体、社会和经济幸福--并相应地定义和创建了我们的计划、工具和资源。我们还为团队和经理提供了特定的资源和计划,以便进行正确的对话。
我们提供的服务包括对员工的一般支持、培训和大师班、福利活动以及身体和心理健康检查。在维尔德霍温,我们有一个专门的健康和福利中心,提供多种健康和福利员工服务,包括内部物理治疗师、心理学家、职业中心、室内健身房、瑜伽室和跑道。我们目前在全球拥有超过165名幸福大使,而且网络仍在扩大,帮助我们在我们的全球组织中传播幸福。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 103 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| | | | | |
我们的目标
雇主品牌
我们根据我们的主要经营地点--荷兰、美国、中国、台湾和韩国--来衡量我们的雇主品牌。我们通过监测我们在一个独立的外部雇主品牌排名中的位置来衡量外部受众--尤其是潜在员工--对ASML的看法。
我们制定了到2025年在不同的本地劳动力市场排名的目标--荷兰前10名、美国前75名、中国前100名、台湾前20名和韩国前20名。
员工敬业度
我们想要比较自己,朝着表现最好的类别成长。我们2025年的目标是在我们的员工敬业度调查中表现最好的25%公司基准的2%范围内。
留着
虽然自然减员可能会打开公司的知识缺口,但我们也将其视为引进新人才和提高现有人才的机会。我们努力实现健康的流失率(员工流失率),目标是2022年的年流失率为3-8%,未来的流失率低于7%。
我们在2022年的表现
我们在2022年雇佣了7,130名新员工,而2021年为4,373名,到年底,我们的员工人数增加到37,643名全职员工(FTE)(新员工比例从去年的15%上升到21%)。此外,我们在ASML柏林实体雇用了1,443名全职员工,这还没有完全纳入我们的报告,这增加了我们的总数
劳动力增加到39,086 FTE。自2015年底以来,我们的员工人数增加了一倍多。
雇主品牌
在2022年,我们在荷兰排名第四,在台湾排名第六,在美国排名第159,在中国排名第188,韩国没有排名。
我们继续加深对我们作为雇主所做的工作和我们所代表的立场的理解。2022年,我们在总部荷兰看到了显著的改善,上升了两个百分点,进入了对学生最具吸引力的雇主前五名和专业人士最具吸引力的前十名。在台湾,我们还提高了学生和专业人士的认识和考虑,特别是在我们的工程/IT目标群体中。在荷兰和台湾,我们显著提高了这一群体中女性的意识。在中国,我们仍在努力定位自己,因为对于顶级人才来说,这仍然是一个竞争激烈且分散的市场。我们目前在全国81%的地区是我们的学生目标群体,但还不被认为是首选的雇主。与中国类似,美国是一个支离破碎的市场,很难接触到所有人。因此,我们将重点放在我们运营的特定州和特定目标群体的雇主品牌努力上。为了有一个一致的方法来衡量我们的雇主品牌,我们使用了优信咨询在这些市场的研究数据。
不幸的是,优信从2021年起停止在韩国提供服务。因此,我们无法获得可比较的数据。然而,根据当地的一项调查,ASML被认为是在韩国运营的半导体设备公司中最理想的雇主。我们还被韩国政府认证为“最佳雇主”。
员工敬业度
在我们的2022年We@ASML员工敬业度调查中,我们再次看到了良好的结果和84%的高参与率(与前几年持平),并收到了宝贵的改进反馈。2022年参与度调查得分为78%,与2021年持平-比我们的外部全球基准74%高4个百分点,比2021年下降了2%。
与业绩排名前25%的公司相比,我们在2022年的参与度得分低了4个百分点。我们2025年的目标是在表现最好的公司基准的2%范围内,因此我们在提高参与度得分方面有更多工作要做。总体而言,我们得出的结论是,ASML拥有高度参与度的人群。人们为为ASML工作而感到自豪,并会向其他人推荐ASML。
与去年相比,我们在调查的15个类别中有9个类别有所改善,仅在与留在意向和质量相关的两个类别中,与2021年的得分相比略有下降。这两个类别的得分在2022年高于全球基准。
| | |
|
7,130 |
2022年新增薪酬员工(2021年为4,373人) |
|
21% |
2022年新聘员工率(2021年为15%) |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 104 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| | | | | |
与2021年相比,我们在所有关键行动议题上均有所改善:明确预期、有利流程、跨团队协作及福祉。尽管我们取得了良好的进展,但仍有工作要做,因为除了福祉以外,这些议题仍落后于外部基准,这是一个例外,比外部基准高出6%。
我们于2022年调查中引入环境、社会及管治作为新主题,为加强内部环境、社会及管治参与设定基准。74%的员工对我们为全球带来积极影响的努力感到自豪,但只有39%的员工表示他们有机会为ESG做出贡献,这明显低于外部基准。因此,我们计划提高员工对环境、社会及管治可持续发展的认识和机会。
我们的劳动力趋势1
| | |
1.上图中的2020年至2022年FTE不包括通过收购Berliner Glas(ASML Berlin GmbH)获得的FTE。 |
留着
2022年的整体流失率为6. 0%,高于2021年的5. 4%,我们完全处于目标范围内,低于我们经营所在国家的行业平均水平。我们将这一增长归因于全球许多行业的员工短缺,以及蓬勃发展的半导体行业提供了大量就业机会。尽管如此,我们相信,我们为创造独特的员工体验所做的努力、员工敬业度计划以及新员工的入职工作正在取得成效。
加入和发展我们的员工
随着我们全球员工队伍的快速增长,积极的入职体验对建立联系感至关重要,并帮助员工快速适应环境。我们通过脉搏调查来衡量入职体验的质量,平均而言,87%的新员工表示,他们在2022年有着积极的体验,并得到了经理的大力支持。
我们在2022年的行动
吸引并留住最优秀的人才
2022年,旅行限制取消,我们再次能够以个人方式与我们国家的学生和专业人士进行面对面和虚拟交流。人们越来越关注从内到外的品牌生活,要求我们的员工分享他们加入和留下来的故事,并支持这些大使与他们的网络分享他们的故事。这种可信的消息传递方式有助于我们瞄准付费媒体中的人才,并提高知名度和推荐--这是一个高质量的招聘来源。
我们继续研究我们主要目标受众的期望,以便将他们与我们作为雇主的身份相匹配。一大挑战是了解自疫情爆发以来,人们的期望发生了怎样的变化,特别是在混合工作和工作与生活平衡等领域。我们认识到潜在员工有选择的余地,在竞争激烈的全球劳动力市场中,我们面临着在未来几年更加与众不同的挑战,同时保留帮助我们走到今天的独特文化和价值观。
| | |
|
|
87%的新员工表示,在经理的良好支持下,他们在2022年获得了积极的入职体验。 |
|
|
我们推出了ASML学院,以确保我们的员工拥有正确的知识和专业知识,以保持我们的技术领先地位和我们行业所需的创新速度。学院将ASML内部的所有学习和知识管理统一起来,使我们的员工能够轻松获得他们在各自角色中出色发挥所需的知识、技能和专业知识。我们新推出的学习体验平台(LXP)进一步使我们的员工能够推动自己的发展并相互学习,并直观地将他们与ASML和外部学习内容提供商提供的一流学习内容联系起来。
总体而言,我们的目标是提供尽可能好的员工体验,确保学习和知识管理在工作中进行,遵循70-20-10学习方法:70%的在职学习,20%的培训和10%的培训课程。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 105 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| | | | | |
ASML的支持性职业
我们已经审查了我们的整个绩效管理方法和理念,以使其更好地与我们的文化和价值观保持一致。我们努力重塑我们的绩效管理流程,并将其嵌入到新工具中,并于2022年1月上线。我们新的“开发和执行”方法允许正式和“自然”时刻的联系、反馈和认可,以支持持续的开发和执行。
为员工提供公平的薪酬
在ASML,我们致力于满足适当的生活工资要求,这意味着员工的工资满足其及其家人的基本需要,以在我们开展业务的每个国家的情况下保持适当的生活水平,但我们也提供一些可自由支配的收入。我们公司拥有一支受过高等教育、薪酬水平相对较高的员工队伍。平均而言,我们的工资远远高于当地最低生活工资。
2022年,作为两年周期的一部分,我们进行了一项分析,将我们的最低基本工资与我们运营的国家和地区的当地最低工资和当地的最低生活工资进行了比较。我们没有发现任何差距。
每年,我们都会分析薪资中的性别差异。2022年,和前几年一样,我们发现这些工资没有太大差异。
2022—2025年行动计划
根据我们@ ASML参与度评分的结果,已商定了优先领域,并将由负责部门在来年开展工作,这将确定应对部门具体情况和需求的行动。目前,我们认为没有理由调整2025年目标。
| | | | | | | | |
| | |
| 未来ASML CLA | |
| | |
| 在荷兰,我们继续致力于豁免Metalektro集体劳动协议(CLA),以发展我们自己的CLA。我们在全球市场上的独特地位、我们的规模和增长、我们非常独特的员工群体以及我们为交付产品而汇集的各种能力和活动,都创造了我们对劳动条件的需要。未来ASML CLA的目的是提供一套符合我们所有员工多样性和需求的劳动条件。 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 106 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| 加强领导 | | | | |
我们的方法
为了保持市场领导者的地位,我们必须基于真正的领导力提供统一的方向,让我们的员工清楚地了解ASML的发展方向。这为我们所有人提供了很好的机会,为ASML的成功做出贡献并产生影响,同时也为我们的领导人提出了挑战。随着我们公司的发展,对角色和期望的清晰度也在增加。领导者需要在为员工提供角色明确性方面发挥作用,并明确自己的角色和责任。我们继续努力更清楚地制定和捕捉这一点,以便我们的员工能够了解对他们的期望。
我们的领导力框架于2020年推出,概述并澄清了领导者在企业领导力中的角色,塑造公司内部价值观的角色模型,以及成为人事经理和员工教练的意义。领导力就是人。
| | |
|
|
随着我们公司的发展,对角色和期望的清晰度也在增加。 |
|
|
我们在2022年的行动
于二零二二年,我们继续部署行为能力培训、辅导计划及实践指南,以激励及促进个人发展。我们有领导力计划,快速跟踪我们最有前途的经理的职业生涯,例如我们的潜在加速计划。这些计划确保我们的管理人员了解对他们的期望,并帮助他们发展成为更好的领导者所需的技能和能力。
这些计划的影响在员工对2022年we @ ASML调查的回应中最为明显,该调查评估了我们领导力框架的所有四个维度:81%的员工将他们的经理视为榜样,80%视为教练,77%视为业务领袖,82%视为人民领袖。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 107 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| 确保员工安全 | | | | |
我们的方法
安全是我们日常工作中不可或缺的一部分。这不仅仅是一个优先事项,它对我们所做的一切都是核心的。我们致力于通过消除危险和降低安全风险,确保为我们厂房内的每个人提供无伤害和健康的工作条件。
这包括员工、承包商、供应商、客户和访客。我们相互依赖--我们每个在ASML工作和为ASML工作的人--分享这一承诺,因为只有按照共同的标准共同努力,我们才能确保彼此的安全。
当然,我们会遵守政府的所有指引和安全措施,在适当的情况下,我们会更进一步。
我们相信,所有工伤和职业病都是可以预防的。因此,我们正在努力实现零伤害和工伤相关疾病的长期目标。
虽然完全消除风险是不可能的,但我们正在各级积极努力,找出工作场所的潜在问题或关切,并制定减少它们的措施。我们尽我们所能将风险降至最低,为我们的员工提供正确的保护、程序和流程以确保他们的安全是我们的责任。
我们的持续目标是零可记录的事件,这推动我们在流程、工作条件和员工行为方面不断改进。为了实现这一目标,我们将重点放在环境、健康和安全(EHS)管理体系、安全文化和培训上。
我们致力于建立完善的EHS管理体系。我们以尽可能高的专业标准工作,以持续改进为关键原则。我们的EHS管理体系是以国际标准化组织45001标准为基础的,并符合其要求。作为年度内部审计的一部分,EHS报告体系是根据ISO标准进行评估的,尽管它没有经过外部方的认证或审计。我们已在全球范围内的工厂和客户服务地点实施了我们的EHS管理系统。它涵盖其工作场所受ASML控制的所有人,包括我们的所有员工和其他未受雇于ASML的员工。
我们的企业EHS委员会由首席运营官担任主席,负责监督和批准ASML的EHS战略。我们的直线经理负责EHS的日常管理和绩效。
我们对员工和产品安全的承诺体现在我们的可持续发展政策中,该政策适用于ASML全球各地的同事。该指南于2022年重新设计,旨在提高人们的意识和所有权,解释了我们的宗旨和目标,并明确描述了员工如何在对环境影响最小的情况下为安全和健康的工作场所做出贡献。
事故和风险管理是我们的EHS管理体系的关键要素。任何参与或观察到不安全情况或事件的ASML员工都需要填写一份事故报告。
我们记录和调查所有事件和高危不安全情况,以确定根本原因并采取行动防止其再次发生。
EHS专家定期进行危害和风险评估,重点是防止员工暴露于化学品、辐射、机械操作和人体工程学风险等危害中。这些为我们提供了对ASML主要危害和风险领域的进一步了解。然后,我们可以采取适当的措施来降低这些风险。我们还通过内部EHS审计确保持续改进。此外,管理人员还定期访问员工工作场所的“安全Gemba Walk”,帮助提高安全绩效并加强我们的安全文化。
为改善EHS绩效,我们鼓励员工在遇到安全风险时挺身而出。每个员工都有权停止工作,如果他们感到不安全。与他们的经理和EHS专家一起,随后将确定一种安全的工作方式,以便工作可以恢复。
在ASML,标准做法是告知我们的员工和其他独立访问我们的场所和客户现场的人(包括承包商和供应商)我们的安全规则,并提高对这些规则的认识。培训确保我们的员工准备好并了解这些安全要求。
所有加入ASML的新员工都必须完成我们的EHS基础知识(EHS基础知识)电子学习模块—每年为所有员工更新此培训。我们洁净室的工程师在加入ASML后接受更广泛的培训,此后每年通过我们的EHS洁净室基础知识模块接受培训,该模块解释了如何识别危险和预防伤害。
我们的所有网站都有公司医生或外部健康服务。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 108 |
| 对所有人都有吸引力的工作场所(续) | | | | |
| | | | | |
我们的目标
我们的目标是预防职业健康和安全事故。为了将我们的表现与行业标准作基准,我们采用目标可记录事故率0. 16,代表世界一流的表现。
我们在2022年的表现
加强我们的安全文化
在2019年首次进行安全文化测量后,我们使用Bradley成熟度模型,于2022年重复了这一测量。我们于2022年初向运营、开发和工程以及业务线组织的25,000名员工开展了安全认知调查。反馈在不同行业内进行了分析,并汇总到公司层面,显示与2019年起点相比,成熟度曲线有了显著增长。过去三年,公司实施的救生规则、管理人员的安全领导计划以及在整个公司开展的安全意识活动都取得了成效。
我们的安全记录
我们根据美国职业健康与安全法案登记EHS相关事件。我们的可记录事故率由二零二一年的0. 17增加至二零二二年的0. 22。2022年,我们的可记录事故率(就员工而言)为0. 18,高于2022年的预期基准0. 16。该比率增加是由于与二零二一年相比,我们校园和办公室的小型伤害数量增加,因为更多的人返回办公室。可记录事故率是指每100个FTE一年内需要急救以上的病例数。与往年一样,我们没有遇到任何与ASML有关的死亡事件。我们报告了两起受伤事件,其中员工缺勤超过180天。令人遗憾的是,两名合同工人(在两次不同的事件中)在威尔顿的ASML房地发生了致命事故。虽然他们并非在ASML的监督下工作,但我们与合约机构及地方当局一起彻底调查了这些事故,以了解根本原因并采取纠正措施。合同公司根据职业安全与卫生局的准则,正式向地方当局报告了这些事件。
我们在2022年的行动
ASML的快速增长给我们带来了巨大的挑战—每个月都有大量的新员工,我们必须确保员工在工作时得到信息、指导和支持。
安全超越了程序、规则和正确的设备,还包括人的心态、行为、态度和习惯。根据五项安全规则,我们部署了各种部门特定的意识方案。例如,我们将hein ®安全活动扩展到所有行业,以确保使用共同的安全语言和对话。这得到了讲习班和培训的支持
会议上有许多有趣的讨论和对我们的安全行为的见解。
2022年,我们开始将与受伤有关的事件与与健康状况不佳有关的事件分开。我们分析了员工所经历的疾病最常见的根本原因,并确定这与人体工程学有关。基于这一发现,我们为员工开发了新的工业人体工程学培训,并将于2023年向我们的运营团队推出,并在需要时提供人体工程学评估和改进。我们希望在未来几年内,与人体工程学相关的疾病减少。
为解决以往因起重设备使用不当而导致的大量未遂报告,本集团于2022年为所有从事起重活动的工程师推出了新的“起重”培训模块。
2022—2025年行动计划
为应对二零二二年可记录事故率较二零二一年有所上升,我们将于二零二三年为全体员工开展全球安全意识活动。
我们已经商定了一个新的目标,即到2025年,将布拉德利安全文化衡量成熟度曲线提升到下一个水平。已经确定了公司和部门一级的改进计划,并将在管理层的坚定承诺的支持下予以实施。我们将继续与我们的合作伙伴、主要供应商和客户合作,以协调我们的安全原则和流程。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 109 |
| 我们的供应链 | | | | |
| 通过确保我们以可持续和负责任的方式开展业务,为我们的世界级供应商网络设置更高的标准,以实现我们努力追求的创新。 | | | | |
| | | | | | | | | | | | | | | | | |
| | | | |
| 124亿欧元 | | 5,000 | |
| 采购总支出 39%的荷兰人 41%的EMEA(不包括NL) 13%的北美地区 亚洲增长7% | | 供应商总数 1600荷兰 欧洲、中东和非洲地区750人(不包括NL) 1300北美 1350个亚洲 | |
| | | | |
| 59% | | | |
| 承诺可持续发展(LOI)覆盖的供应商支出百分比(2025年目标:80%) | | | |
| | | | |
| | |
| 在本节中 | |
| 111 | 我们2022年的整体表现 | |
| 112 | 供应商绩效和风险管理 | |
| 113 | 负责任的供应链 | |
我们的方法
在ASML,我们在很大程度上依赖我们的供应商网络来实现我们所努力的创新。我们的供应商是我们价值链的重要延伸。我们的总供应商基础中约有5,000家供应商,我们区分与产品相关和非产品相关的供应商。
与产品相关的供应商提供直接用于生产我们系统的材料、设备、部件和工具。这一类别约有800家供应商,占我们采购量的最高百分比(69%)。我们将其中约250家供应商定义为关键供应商,约占产品相关支出的92%。关键供应商提供独特的部件和/或单一来源,那些切换时间超过12周的替代供应商或提供生产时间较长的部件的供应商。
与产品无关的供应商是商品和服务供应商,提供支持我们运营的产品和服务,从临时工到物流,从自助餐厅服务到IT服务。该集团约有4,200家供应商,占我们总供应商基础的84%。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| 我们的供应链 | | | |
| | | | | |
| SDG目标 | | 我们如何衡量 我们的表演 | |
| | | | | | |
| 可持续发展目标8.8 | |
| | |
| 保护劳工权利,促进所有工人,包括移徙工人,特别是移徙妇女和就业不稳定者的安全和有保障的工作环境 | | –遵守RBA行为准则 –非洲区域局自我评估问卷填写情况 –对在可持续性要素方面具有高风险的供应商进行评价并商定后续行动 | |
| | | | | | |
| 可持续发展目标目标12.2 | |
| |
| 到2030年,实现自然资源的可持续管理和高效利用 | | –供应商支出由可持续发展承诺(LOI)覆盖 | |
| | | | | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 110 |
| 我们的供应链(续) | | | | |
我们投入大量资源开发和引入新系统和系统增强功能,例如EUV光刻和电子束计量和检测。由于这些技术涉及数千个专业部件,因此我们专注于高附加值的系统集成。
ASML的供应链战略以与供应商和合作伙伴的长期关系和密切合作为中心。我们的目标是确保我们拥有满足短期和长期需求所需的产品、材料和服务,以支持我们的运营,从最早的开发时刻到我们的系统寿命结束阶段。为确保顺利进行,我们在产品生成流程(PGP)的最早阶段就让供应商参与进来。这也使我们能够提高产品性能并确保可制造性和可维护性。
在一个以生产小批量高价值产品、快速开发周期和业务波动性为特点的利基市场中经营,需要对供应基础提出几项关键的性能要求。持续提升供应商的能力及表现是我们采购及供应链策略的核心。
| | |
|
ASML的供应链战略以与供应商和合作伙伴的长期关系和密切合作为中心。 |
|
|
| | | | | |
| |
| 我们要求供应商: |
| 1.从供应商那里获得材料的安全性,以帮助客户提高产量 2.通过开发和维护同类最佳的能力和功能,实现我们的产品路线图,以确保最先进的技术和快速的上市时间 3.通过高效和专注的运营,推动成本降低、质量和能力提升 4.建立足够广泛的客户基础和规模,以分担和分散市场周期波动的风险,并提高灵活性和成本竞争力 5.为我们的可持续发展战略做出积极贡献 |
为推动可持续及有弹性的供应链,我们高度重视供应商绩效管理、供应链风险管理,并在负责任的供应链中充分发挥作用。
我们已采纳《负责任商业联盟(RBA)行为准则》,其中规定了道德、社会和环境标准。我们期望我们的主要供应商及其供应商确认并遵守其要求。
我们的供应商可持续发展计划专注于七个组成部分—供应商行为准则(RBA)、RBA自我评估、负责任的矿产采购、减少碳足迹、提高再利用能力和减少浪费、信息安全和业务连续性。
我们在以下各页阐述我们在这些领域(“供应商表现及风险管理”及“负责任的供应链”)的方针。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 111 |
| 我们的供应链(续) | | | | |
| | | | 已步入正轨或达到目标• 持续的重点领域n | |
我们2022年的整体表现
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | 进度跟踪 | |
| 主题 | | | 2025年目标 | | 绩效指标 | 2020 | 2021 | 2022 | 状态 |
| | | | | | | | | | |
| 我们的供应链 | | | 80% | | 可持续性承诺(LOI)涵盖的供应商支出百分比 | 不适用 | 不适用 | 59 | % | • |
| | | | 90% | | 非洲区域局完成的自我评估(%) | 88 | % | 89 | % | 93 | % | • |
| | | 100% | | 对可持续性要素具有高风险的供应商进行评估并商定后续行动(%) | — | % | 100 | % | 100 | % | • |
有关更多供应链绩效指标(PI),请参阅:
非财务报表-非财务指标-我们的供应链。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 112 |
| 我们的供应链(续) | | | | |
| 供应商绩效和风险管理 | | | |
我们的方法
供应商绩效管理
为了帮助我们管理ASML的增长和我们的未来目标,我们继续改进我们的关键业务流程。严格的风险控制和持续改善供应链是确保质量、长期业务连续性和可持续性的关键。
我们投资开发和监控我们的供应环境,以帮助供应商满足我们在质量、物流、技术、成本和可持续性方面的要求(QLTCS)。我们的供应商分析方法有助于我们衡量供应商在所有这些领域的表现、供应商能力和风险状况。
我们有一个框架,向供应商传达流程要求和合规期望。该框架概述了我们的供应商管理和发展方法,以实现理想的ASML供应商环境。它还提供了一个增强的知识库,以改善我们与供应商围绕其性能和开发潜力的对话。我们定期举行营运及表现检讨会议,以确保供应商持续改善其表现及流程。当供应商的表现低于我们设定的阈值,并且始终无法在合理的时间框架内根据要求恢复,ASML的政策是采取措施确保可靠的未来供应。
结构性审计计划使我们能够评估供应链风险,并确定需要改善的领域,以减轻或减少这些风险。
供应链风险管理
由于我们的许多部件和模块具有高度专业化的特性,以及低批量,从多个供应商采购并不总是经济的。因此,在许多情况下,我们的采购策略规定“单一采购,双重能力”,这要求我们积极管理供应商的表现和风险。
在我们的风险管理框架中,我们评估了六个风险领域:灾难、所有权、金融、知识产权所有权、信息安全和合规。由于在同一行业或市场经营的供应商通常面临类似风险,我们会根据供应市场类别评估供应商的风险及表现。我们将根据需要调整我们的品类策略,以满足ASML的短期和长期业务需求。在风险超过商定阈值的情况下,采取缓解措施。例如,我们有长期供应商协议(LTSA)和/或持续供应协议,或确保知识产权托管的可用性。
更多内容请阅读:
风险—我们如何管理风险。
我们在2022年的表现和行动
我们对供应基地进行持续的绩效和风险管理,以确保和改善绩效,并防止声誉受损。这一过程的两个关键项目:供应商业务连续性项目,旨在确保供应的连续性和供应商的信息安全;以及信息安全和网络弹性项目,旨在保护我们的知识产权和保持我们的领先技术地位。
业务连续性计划
于二零二二年,我们继续专注于提升业务恢复能力,检讨业务持续性计划,以确保供应商在发生破坏性事件时能在最短时间内重新交付。我们要求供应商具备符合ISO 22301标准的业务恢复能力。要求供应商恢复计划,并在必要时进行评估,以防止潜在的业务中断。例如,可能要求供应商将其库存存放在不同地点,实施防火控制或增加缓冲库存。2022年,我们将235家与业务关键产品相关的供应商纳入业务连续性计划,并扩大了29家非产品相关供应商的范围。
信息安全和网络弹性计划
2022年,我们继续扩大我们的信息安全和网络弹性计划,使目前的供应商范围为314家,而2021年则为202家。此外,还实施了一个网络风险监测工具,以监测供应商的互联网存在,范围内有256个供应商。
我们要求可访问绝密信息或享有特权访问我们IT系统的供应商通过ISO 27001标准提高其网络弹性。为了支持我们的供应商和其他生态系统合作伙伴在这方面的努力,我们与荷兰的Cyber Weerbaarheid(弹性)Brainport一起建立了安全信任圈。
更多内容请阅读:
治理—负责任的企业—信息安全。
| | |
|
我们对供应基地进行持续的绩效和风险管理,以确保和改善绩效,并防止声誉受损。 |
|
|
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 113 |
| 我们的供应链(续) | | | | |
| 负责任的供应链 | | | |
我们的方法
我们积极追求供应链的可持续发展,以确保我们的一级供应商及承包商以关怀及负责任的态度经营业务,并确保他们成为负责任的业务伙伴。在寻求确保负责任的供应链的同时,我们部署了多个计划,重点关注负责任商业联盟(RBA)的承诺和标准、尽职调查以及我们的供应商可持续发展计划。
我们是负责任商业联盟(RBA)的成员,并已采纳了RBA行为准则。
更多内容请阅读:
管理—负责任的企业—商业道德和行为准则。
尽职调查
我们的供应商基础中有近5,000家第一级(直接)供应商,因此识别并优先考虑面临风险的供应商非常重要。我们采用基于风险的方法来确定哪些供应商属于我们更详细的尽职调查流程的范围,该流程包括三个层面:
–使用RBA风险平台,根据道德、劳动力、健康和安全以及环境风险对供应商进行筛选,确定固有风险水平。
–对业务关键型供应商应用供应商风险分析。我们对这些供应商进行QLTCS能力要素的风险评估。
–对主要供应商应用RBA自我评估问卷(SAQ),其中我们考虑供应商的类型、杠杆和供应商的地理位置。我们专注于占我们年度支出80%的产品相关供应商、包括非产品相关供应商在内的关键业务供应商,以及我们年度RBA风险筛选中被视为高风险的供应商。
我们希望这些详细程序范围内的供应商每年完成RBA SAQ,以验证其遵守RBA行为准则,并确定与其标准相关的任何潜在差距。我们审查所有RBA SAQ结果,评估高风险发现(如果有),并确定发现的严重程度。我们的政策是与供应商讨论所有高风险发现,以评估风险并确定是否需要改进计划。
供应商可持续发展计划
我们的供应商可持续发展计划通过以下七个组成部分来解决我们一级供应链中的劳工、人权、安全、道德和环境风险:供应商行为准则(RBA)、RBA自我评估、负责任的矿产采购、减少碳足迹、提高再利用能力和减少浪费、信息安全和业务连续性。
我们供应商可持续发展计划的一个重要元素是“意向书”。通过签署本意向书,供应商同意遵守一系列措施:继续遵守最新版本的《澳大利亚央行行为准则》;衡量和分享他们的CO,2与生态系统合作伙伴建立电子排放数据;制定雄心勃勃的目标,减少二氧化碳排放2e排放量;并与ASML和生态系统合作伙伴合作,重新制造使用过的系统部件、工具、包装和其他材料,以最大限度地利用材料。
冲突矿物
与电子行业的许多公司一样,我们的产品含有功能或生产我们产品所必需的矿物质和金属。这些矿物和金属包括钽、钨、锡和金,它们是3TG矿物,或所谓的“冲突矿物”。我们在产品的制造过程中不使用大量这些3TG矿物质。然而,某些3TG矿物质是开发我们的产品并使其发挥功能所必需的。例如,金用于涂覆关键的电子连接器,锡用于焊接电子元件和产生EUV光。
我们已根据经合组织《经合组织受影响及高风险地区矿产品负责任供应链尽职调查指南》(OECD指南)所载五步框架的法律要求及指引,采取了一系列合规措施。作为我们负责任的采购计划的一部分,我们实施合理的原产国查询,重点放在五个方面:1.健全的管理制度;风险识别,3。降低风险,4。与负责任矿物倡议(RMI)组织的行业合作,以及5。公开报道。
尽管我们尽了最大努力,但我们仍无法确定产品中所有3TG矿物质的准确来源。这是由于多个原因,包括3TG供应链的复杂性、参与追踪来源的供应商层级的数量以及所有冲突矿产的无冲突冶炼厂数量有限。从我们的供应链中获取正确的数据是一项挑战,但我们继续鼓励我们的供应商根据适用的冲突矿物规则和法规追踪其供应链中3TG矿物的来源。我们亦要求我们的供应商向澳银管理局汇报未列入或未列入澳银管理局冶炼厂名单的冶炼厂,以供审核。
欲了解更多信息,请参阅我们的
冲突矿物报告可在www.asml.com查阅。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 114 |
| 我们的供应链(续) | | | | |
| | | | |
我们的目标
我们设定了三个目标,以支持我们提高供应链可持续性的努力:
–让我们最大的60家供应商中有80%的供应商承诺可持续发展(通过意向书-意向书或向我们提供其CO2E到2025年排放数据(范围1、范围2和范围3)
–在澳大利亚央行自我评估范围内的所有供应商中,有90%在2025年前完成
–对于100%被澳大利亚央行自我评估确定为具有高风险可持续性要素的供应商,需要在2025年前进行评估并同意采取后续行动
我们每月监控目标和承诺,根据目标跟踪进度,并在需要时与采购主管和供应商跟进。
| | | | | | | | | | | | | | | | | | | | | | | |
我们在2022年的表现 | | | | |
总供应商基数 | | | | |
12.4bn | | | | |
总支出 | | | | |
| | | | 占总支出的百分比 | |
| | 800 | 与产品相关的供应商 | 69 | % | | | |
| | 4,200 | *与产品无关的供应商 | 31 | % | | | |
| | | | | | | | | | | | | | |
| | 2025年LOI目标 是80% | | |
| | | | |
| | | | |
| | | | 2022年,总支出的59%由意向书对可持续发展的承诺覆盖 |
| 我们使用 RBA风险评估平台。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 115 |
| 我们的供应链(续) | | | | |
| | | | |
截至2022年底,范围内的60家供应商中有59%已签署意向书,确认他们对减少集体环境足迹的共同责任和承诺—特别是《共同污染物》。2排放量有助于我们的范围3减排,废物有助于我们的再利用目标。截至年底,超过35家供应商提供了CO的数据,2e排放。到2025年,我们的目标是让前60家供应商中有80%签署意向书。
我们要求59家供应商填写详细的RBA SAQ。总的来说,澳大利亚央行SAQ结果显示,我们的供应基地的风险水平相对较低,因为我们的大多数供应商都在我们认为普遍具有强大法治的国家运营。截至二零二二年底,93%的供应商已完成RBA SAQ(二零二一年为89%)。已完成的澳大利亚区域管理局SAQ显示,没有供应商在所有可持续性要素上存在整体高风险。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | |
按支出百分比划分的供应商基础地理位置 |
| | | | | | | | | |
| 1,600个供应商 | | | 750个供应商 | | | 1300家供应商 | | 1350个供应商 |
| | | | | | | | | |
| | 39 | % | | | 41 | % | | 13 | % | | 7 | % |
荷兰 | | 欧洲、中东和非洲(不包括荷兰) | 北美 | 亚洲 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
供应商风险概况,为关键业务创建, 战略重要供应商 |
86亿欧元 | | | | |
| | | | | | |
| 216家供应商占该支出的92% | | | | | |
| | | | | | |
| | | | 38亿欧元 | | |
| | | | | | |
| | | | | | 29家供应商占这一支出的23% |
与产品相关 花费 | | | 与产品无关的支出 | |
| | |
|
* 主要供应商是那些占公关支出80%的供应商和任何业务关键型NPR供应商。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| | | | | | |
主要供应商填写的负责任商业联盟自我评估问卷 * |
86亿欧元 | | | | |
| | | | | | |
| 44家供应商占这一支出的71% | | | | | |
| | | | 38亿欧元 | | |
| | | | | | |
| | | | | | 15家供应商占这一支出的26% |
与产品相关 花费 | | 与产品无关的支出 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 116 |
| 我们的供应链(续) | | | | |
| | | | |
然而,这一过程确实表明了几家供应商在健康和安全、环境或道德标准方面的高风险。对已确定的高风险的进一步评估显示,这些风险与缺失的“第三方”管理系统有关。通过讨论进行随访后,我们评估风险为低/中等。ASML不要求供应商建立正式的环境/劳工管理体系。所有被跟踪的供应商都可以证明他们制定了政策/程序,以确保遵守道德、劳工、安全和环境要求。有关2020-2022年的更多详细信息,请参见下表。
| | | | | | | | | | | | | | | | | |
| | 从澳大利亚央行SAQ确定的高风险数量 |
标准 | 澳大利亚央行承诺 | 2020 | 2021 | 2022 | 主要发现 2022 |
劳工 | 维护所有工人的人权(直接和间接),并按照国际社会,包括劳工组织的八项基本公约的理解,尊重他们的尊严和尊重 | 1 | 0 | 0 | |
健康与安全 | 尽量减少工伤和疾病的发生率,并确保有一个安全和健康的工作环境。沟通和教育对于识别和解决工作场所的健康和安全问题至关重要 | 0 | 0 | 1 | 与非产品相关的供应商相关的发现,其要求与组织类型不完全匹配。 |
环境 | 环境责任是生产世界级产品和服务不可或缺的。在保障公众健康和安全的同时,尽量减少对社区、环境和自然资源的不利影响 | 0 | 0 | 3 | 调查结果涉及:1)非产品相关公司,要求与组织类型不完全匹配;2)供应商正在实施全公司环境计划和供应商管理;3)有政策的公司,但没有环境计划和供应商合同要求。 |
伦理学 | 为履行社会责任,在行业中取得成功,应坚持最高的道德标准,包括但不限于商业诚信、反贿赂和腐败、反垄断和竞争、保护隐私 | 1 | 0 | 1 | 调查结果与没有单独的冲突矿产政策和供应商计划有关,但该供应商有供应商行为守则。 |
成员和参与者致力于建立管理制度,以确保: |
–遵守适用的法律、法规和客户要求 –符合守则标准 –识别和缓解操作风险 –促进持续改进 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 117 |
| 我们的供应链(续) | | | | |
我们在2022年的行动
减少CO排放2E排放和废物
2022年,我们在供应商可持续发展计划方面取得重大进展,旨在与供应商携手合作,实现我们在2030年实现净零排放的目标。我们向我们的60大供应商推出了该计划,我们的目标是随着时间的推移逐步扩大范围。我们认识到,我们的供应商在CO方面处于不同的成熟期,2e排放和废物减少的雄心,从先进的目标设定和绩效到尚未开始测量其环境足迹。
我们也开始收集CO2e供应商的排放数据—超过35个主要供应商现在与我们分享他们的环保表现和承诺,我们正共同探讨减少排放的机会。我们还采购了一个IT工具,供应商可以使用它来共享他们的CO2E排放数据与我们。
于2022年,我们亦恢复对QLTCS及业务连续性的现场供应商审核。年内,我们还启动了两项试点RBA审计,我们将转向一种模式,即我们对供应商进行RBA合规性的结构性审计。
与供应商接触
年内,我们与主要供应商举行了多场互动会,包括三月的供应商提升日及九月的供应商日,让供应商有机会提出问题并与我们分享共同挑战。我们尽可能从这些反馈会议中找出了行动要点。
我们的供应商可以联系我们的采购主管或战略客户(SAT)团队,他们的职责是管理与供应商的关系。采购和供应链还为供应商举办了专门讨论CO合作的讲习班2e排放数据,邀请专家介绍该计划,并讨论范围1,2和3排放。研讨会从15家供应商开始,一年内扩大到80家,其中一个在Brainport面对面举行,让供应商从ASML的角度了解最新情况、下一步措施以及集思广益的机会。我们要求供应商在收集CO时告知我们他们面临的挑战2E排放数据,我们讨论可能的解决方案。
供应商表示,这些讲习班非常有益,有助于分享最佳做法,并能够共同解决共同问题。研讨会上提出的专题将在今后的研讨会上加以落实。
为了满足客户持续的高需求,我们需要紧密合作。客户的信任是关键,而材料短缺威胁着我们的产量。更大的透明度和协作对于成功至关重要。我们面对充满活力的市场环境,而这些挑战本身就存在。在供应商日期间,ASML领导人和供应商公开讨论如何通过改善合作伙伴关系、提高透明度、缩短反馈循环和实现重复使用来克服挑战。针对供应商表示难以理解需求灵活性的问题,我们的团队提供了更多的见解,以了解为什么ASML在需要提升时会调整启动计划。进一步的讨论集中在倾听客户的声音如何是了解市场动态的重要组成部分,以及ASML在强劲增长、可持续性和工业化方面的投资的透明度。ASML领导人和供应商一致认为,突出和学习合作成功领域的重要性。
我们于二零二二年的经验再次强调,与供应商达成一致,以及与供应商就RBA和冲突矿物尽早接触,以消除时间压力对供应商可持续发展计划的重要性。最大的挑战是收集数据—环境数据对一些供应商来说是一个新领域,因此他们需要制定流程并开发团队来处理这些流程。我们每两个月举办一次研讨会,帮助供应商解决他们面临的问题和挑战。我们还发现,各供应商的整体目标并不总是一致,因为有些供应商的目标是2030年,而其他供应商的目标是2040年。
2022—2025年行动计划
我们正朝着实现目标的方向迈进,我们计划扩大供应商的数量,致力于可持续发展,以包括我们的前100名供应商。
于二零二三年,我们将继续每两个月举办一次供应商研讨会。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 118 |
| 创新生态系统 | | | | |
| 我们不会孤立地进行创新。我们在合作伙伴和协作知识网络的帮助下共同开发技术。 | | | | |
| | | | | | | | | | | | | | | | | |
| | | | |
| 33亿欧元 | | 63% | |
| r & d投资 (2025目标:> 40亿) | | 研发支出较2019年基准年增长1% (2025目标:> 100%) | |
| | | | |
| €14.7m | | €1.0m | |
| 对欧盟研究项目的贡献 | | 价值初创企业和规模扩大的实物支持 | |
| | | | |
| | | | | |
| 在本节中 | |
| 119 | 我们2022年的整体表现 | |
| 120 | 研究与发展伙伴关系 | |
| 122 | 支持初创企业和扩大规模 | |
我们的方法
我们将自己视为架构师和集成商,在创新生态系统中与合作伙伴合作。我们的重点是通过合作伙伴关系进行创新,在我们的创新生态系统中,长期合作建立在信任的基础上。通过与生态系统共享我们的专业知识,我们建立了一个强大的知识网络,能够创造出社会可以利用的技术解决方案。我们分担风险和回报,这种协作方式使我们能够加快创新。
我们专注于与研究中心的合作,通过与学术界和研究机构的伙伴关系推动创新管道,并通过欧盟公私合作伙伴关系与研发伙伴合作。我们还相信,我们可以通过支持初创企业和初创企业来培育未来的年轻科技,从而在生态系统中产生更大的影响。
在接下来的几页中,我们将解释我们的合作方式如何加快创新。
| | | | | | | | | | | | | | | | | |
| | | | | |
| 创新生态系统 | |
| | | | | |
| SDG目标 | | 我们如何衡量业绩 | |
| | | | | |
| SDG目标9.1 | |
| |
| 发展高质量、可靠、可持续和有复原力的基础设施,包括区域和跨界基础设施,以支持经济发展和人类福祉,重点是让所有人都能负担得起和公平地获得 | | –支持初创企业达到星级水平 –支持扩大规模的项目 –欧盟项目中的合作 | |
| | | | | |
| SDG目标9.4 | |
| |
| 到2030年,升级基础设施和改造工业,使其可持续,提高资源利用效率,更多地采用清洁和无害环境的技术和工业流程,所有国家都根据各自的能力采取行动 | | –与研究合作伙伴合作 –我们产品的能效是按每道晶圆来衡量的 | |
| | | | | |
| SDG目标9.5 | |
| |
| 加强科学研究,提升各国特别是发展中国家工业部门的技术能力。对发展中国家来说,这包括到2030年鼓励创新,增加每百万人的研发人员数量,以及公共和私人研发支出 | | –在研发方面的投资
| |
| | | | |
| | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 119 |
| 创新生态系统(续) | | | | |
| | | | 已步入正轨或达到目标• 持续的重点领域n | |
我们2022年的整体表现
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | 进度跟踪 | |
| 主题 | | | 2025年目标 | | 绩效指标 | 2020 | 2021 | 2022 | 状态 |
| | | | | | | | | | |
| 创新生态系统 | | | >40亿欧元 | | R&D投资 | 22亿欧元 | 25亿欧元 | 33亿欧元 | • |
| | | >100% | | 研发支出较2019年基准年增长1% | 10 | % | 25 | % | 63 | % | • |
| | | | 无目标 | | 价值初创企业和规模扩大的实物支持 | €0.6m | €1.0m | €1.0m | 不适用 |
| | | 无目标 | | 初创企业和企业实物支持时间 | 1,550 | HRS | 2,100 | HRS | 4,180 | HRS | 不适用 |
| | | >20% | | 初创公司总数中的初创公司达到星级(%) | 16 | % | 15 | % | 12 | % | n |
| | | 14 | | 支持扩大规模的公司数量(以数量为单位) | 7 | 7 | 10 | • |
| | | 无目标 | | 对欧盟研究项目的贡献 | €28.5m | €30.3m | €14.7m | 不适用 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 120 |
| 创新生态系统(续) | | | | |
| 研究与发展伙伴关系 | | | | |
我们的方法
公私伙伴关系
我们与私人合作伙伴合作开展由欧盟及其成员国资助的研究和创新项目。我们开展合作补贴项目,旨在推进集成电路(IC)技术,以满足摩尔定律下一个连接到行业路线图的节点。地平线欧洲计划是一个公私合作伙伴关系,促进合作,加强研究和创新在制定,支持和实施欧盟政策,同时应对全球挑战的影响。
通过在欧洲项目中的合作,ASML及其合作伙伴通过推动和加速EMEA地区的基础研究和开创性创新,在赋予欧洲大陆一定程度的主权方面发挥作用。这种合作还产生了巨大的商业价值,推动了创造就业机会和创造知识。ASML和各联合体中的其他成员每年不断增加的专利申请数量证明了这些合作的成功。
与学术界和研究机构的伙伴关系
我们在大学和研究机构等广泛的技术合作伙伴网络中共同开发专业知识。我们的合作伙伴包括比利时的imec,荷兰的特文特、代尔夫特和埃因霍温的技术大学,以及荷兰的纳米光刻高级研究中心(ARCNL)。ARCNL进行基础研究,并专注于在纳米光刻及其在半导体行业中的应用中当前和未来关键技术中非常重要的物理和化学。
我们的研发目标
我们的研发合作伙伴关系由多个目标支撑:
–到2025年实现超过40亿欧元的研发投资
–研发支出从2019年基准年增长超过100%
我们在2022年的表现
我们于二零二二年的研发投资达33亿欧元,较二零一九年的投资水平增长63%。
2022年,我们在公私合作伙伴关系中的研发贡献为1470万欧元,我们在整个三年的项目投资总额为8890万欧元,项目总规模为4.389亿欧元。在我们的所有项目中,我们与EMEA地区的大学、研究和技术机构以及其他高科技公司合作,来自12个不同的欧洲国家,从20到80个合作伙伴不等,帮助该行业向下一代技术迈进。
我们在2022年的行动
公私伙伴关系
2022年,我们继续协调四个欧盟项目—TAPS3,PIN 3S,IT2和ID2PPAC—所有项目的持续时间均为三年。我们已及时向联网的公共伙伴汇报,并组织网上联盟会议,交流意见和知识。TAPS3项目于2022年4月成功关闭,当时由欧盟委员会聘请的行业独立专家参加的在线项目评审会议对项目的结果进行了评估。
2022年,我们在新成立的关键数字技术联合承诺的第一次征集中提交了项目建议书—14ACMOS。这个为期三年的项目的目标是探索和实现14埃(1.4nm)CMOS芯片技术制造的解决方案。一个联合体已经成立,涵盖IC制造技术开发所需的四个关键领域—光刻、计量、掩模基础设施和工艺技术。
14ACMOS项目汇集了25个领先的专家合作伙伴的研发能力,以应对这些挑战。它的研发成本价值超过9500万欧元,并为生态系统释放了至少2700万欧元的公共资金。在地理上,该项目连接了来自罗马尼亚、英国、比利时、瑞典、法国、德国、以色列和荷兰的人们。
| | |
|
33亿欧元 |
2022年研发投入 |
|
1470万欧元 |
2022年公私伙伴关系研发贡献 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 121 |
| 创新生态系统(续) | | | | |
与学术界和研究机构的伙伴关系
在过去的几年里,IMEC和ASML使用0.33 nA的EUV系统进行了广泛的技术合作,为引入EUV 0.55 nA(高NA)光刻做准备(参见图1中的阶段1)。此协作确定了客户路线图上需要进行最多工作才能推出High-NA的关键设备层。我们开展了研究,以了解和缓解可预见的高噪声扫描仪相关挑战,以及其他关于焦深和景拼接的详细研究。在平行研究中,解决了生态系统的挑战--例如抗蚀剂的选择及其随机影响、掩模吸收材料和必要的大规模计量。ASML向即将到来的SPIE高级光刻和图案化会议(SPIE ALP 2023)提交的口头论文演示文稿中,超过30%来自IMEC和ASML之间的合作,这表明了这种合作的影响。第二阶段的准备工作于2022年开始,为High-NA联合实验室建立了基础设施,并安装了必要的外围设备,如抗蚀剂和显影轨道、薄膜厚度和晶片计量设备。
2022年,我们与NxtGen高科技计划联手,该计划旨在通过研发下一代高科技设备来支持荷兰的未来增长。ASML在这一增长基金计划中的贡献集中在机电一体化、系统工程和潜在的其他领域。
我们与ARCNL的合作正变得更加紧密。在过去,我们已经建立了一种独特的合作模式,在这种模式下,来自ARCNL的科学家可以探索他们想要关注的研究问题,同时为ASML创造价值。在EUV光源、计量和材料领域,我们的共同兴趣已经确立,并取得了成果。在许多其他例子中,这些结果包括:对用于产生EUV等离子体的最佳驱动激光波长的新见解、用于改进晶片分析的干涉测量技术以及对晶片工作台上耐磨涂层的摩擦学的详细了解。
2022—2025年行动计划
没有额外的行动,因为我们正在实现我们的目标。
| | |
图1:ASML的IPCEI提案涉及引入EUV 0.55 NA(High-NA)光刻的三阶段方法中的第三步。ASML和IMEC已经计划了第一阶段和第二阶段。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 122 |
| 创新生态系统(续) | | | | |
| 支持初创企业和扩大规模 | | | | |
我们的方法
为了培养新一代科技人才的创新能力,我们还提供宝贵的专业知识来支持企业家和初创企业。我们利用我们专家的深入能力和知识来开发和支持初创企业和规模企业。通过培养企业家精神,我们的目标是帮助这些年轻企业脱颖而出并发展壮大。我们的共同点是基于我们擅长的领域,比如构建复杂的制造系统。这是我们可以发挥作用并有所作为的地方。
分享我们的专业知识加强了我们的区域高科技生态系统,特别是在我们位于荷兰维尔德霍温的总部周围。这一地区在全球具有竞争优势,我们需要确保我们保持这一地位。建立强大的区域基础不仅使ASML和相关合作伙伴受益,也使其他公司和组织受益。此外,它还有助于吸引广泛的人才基础到该地区。
通过HighTechXL和DeepTechXL,我们通过结合高科技创业人才和相关技术来创建、融资和加速有影响力的初创企业。通过Make Next平台,我们的目标是支持年轻的、创新的高科技团队。通过DeepTechXL,我们帮助为这些深度技术项目提供资金,特别是在风险仍处于最高水平的早期阶段。
打造下一个平台
为了支持年轻的创新高科技企业,ASML于2016年与豪氏威马、Vanderlande和非营利组织Stichting Technology Rating(STR)共同创立了Make Next Platform(MNP)。Thales NL于2019年加入为联合创始人。MNP利用合作伙伴的网络、能力、专业知识和经验来回答这些规模化企业在发展过程中遇到的问题,并帮助他们成长为可持续发展的公司。
MNP旨在帮助那些已经超越创业阶段并准备扩张的新兴高科技企业。这些扩大规模的公司面临着系统工程、供应链管理、企业/公司发展、瞄准滩头市场、管理问题、资金问题和公共事务等挑战。通过交流最佳实践、业务经验和高级企业专家的指导,MNP合作伙伴旨在支持扩大规模的公司发展,使其能够进入内部和外部网络,成为全球参与者。
我们的目标
2025年的目标是,到2025年,超过20%的创业公司达到星级水平,并支持14个新的规模扩大项目。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 123 |
| 创新生态系统(续) | | | | |
我们在2022年的表现
2022年,ASML承诺为高科技初创企业和规模扩大提供超过1500万欧元的支持,提供了4180小时的实物支持,承诺提供超过1400万欧元的现金支持。这一承诺包括我们向DeepTechXL创业投资基金提供的早期融资。12%的初创企业达到星级水平。
迄今为止,MNP已经筛选了250多家公司,并与其中60多家公司的管理团队进行了接触。到目前为止,已经采用了10次规模扩大,其中3次在2022年进行。与此同时,一个人已经达到了校友地位,现在正在根据自己的优势找到自己的方式:SMART Photonics(2021)。
我们在2022年的行动
ASML作为风险投资者
2022年,我们成为DeepTechXL Fund I的战略投资者和联合发起人,该基金是一家新成立的荷兰深度科技基金,规模为8500万欧元。与其他投资行业合作伙伴一起,(飞利浦,Brabantse Ontwikkelings Maatschappij(BOM),研究机构TNO,PME养老基金,Invest—NL和一些家族办公室),该基金为深度科技初创企业和规模化企业提供知识,网络,技术,许可证和业务发展支持,并打算为这些技术企业提供资金,特别是在其早期增长阶段。投资风险仍然最高。该基金旨在引入启动客户,寻找供应链中的合作伙伴,并协助进入新市场和扩大制造规模。DeepTechXL源自HighTechXL,并将与HighTechXL密切合作。
ASML也是HighTechXL的主要股东之一,以及该地区其他具有技术意识的合作伙伴,如飞利浦、TNO、BOM和High Tech Campus Eindhoven。通过HighTechXL,我们将高科技创业人才和来自欧洲航天局、欧洲核子研究中心、弗劳恩霍夫、imec和TNO等知名技术合作伙伴的相关技术相结合,建立并加速有影响力的初创企业,目标是解决重大的全球社会挑战。选定的ASML人才加入这些创业公司,时间为三个月。他们定义了自己的学习目标,并在创业经历后通常受益于丰富的技能和心态。
迄今为止,已有20多家新的深科技企业完成了该计划,其中一些已经受到全球关注。此外,几家新的企业目前仍在加速器项目中,取得了良好的进展,并且已经计划了新的团队。
2022—2025年行动计划
到2025年,我们有望支持14个新的扩大规模项目,并实现我们的研发投资目标。然而,到2025年,20%的初创公司达到星级水平的目标可能需要比最初预期的时间更长。这一目标最初是在HighTechXL还是一个传统的创业加速器时设定的,但自从它转变为一个创业项目后,我们发现这些新成立的创业公司通常需要更长的时间才能成熟。此外,现在的重点是深度技术,这通常需要更长的时间来开发。目前正在讨论如何确定一个反映新情况的更适用的目标。
| | | | | | | | |
| | |
| inPhocal在作为HighTechXL Venture Building Program校友两年后首次出售 | |
2020年,一群热情的创始人踏上了创业之路,这是一家基于源自CERN研究所的光学技术的深科技公司,最初是为大型强子对撞机(LHC)实验中的设备长距离对准而开发的。
在为期9个月的HighTechXL创业建设计划中,inPhocal有机会从几个顶级机构和公司(如ASML、欧洲航天局、飞利浦和TNO)挑选技术,并将自己发展为一家成熟的公司。作为该计划的一部分,inPhocal发现了他们独特的激光加工技术的潜力,该技术提供了长焦深的激光束—这意味着在标记弯曲物体或切割厚材料时不必调整焦点,从而在速度和效率方面实现了前所未有的提高。市场研究证明,他们的技术确实可以解决当前的问题,他们的技术很快就引起了几家大公司的兴趣,如喜力、可口可乐、百事可乐、AbinBev和罗技。
| | | | | |
| |
| 到2025年,我们有望支持14个新的扩大规模项目。 |
与此同时,inPhocal于2021年与实验室合作伙伴Exspectrum合作开发了功能性产品原型。他们与开发合作伙伴Lion Lasers合作进一步优化了技术,这导致了2022年年中第一个完全认证的系统。到那时,他们还获得了200万欧元的投资,由新的DeepTechXL基金领投,ASML也参与其中。InPhocal正在利用他们的资金在2023年扩大生产规模,并已经首次销售了一套系统,该系统将于2023年初在荷兰安装。 多年来,inPhocal充分利用了ASML为HighTechXL提供的支持,四名ASML人才在项目的不同阶段加入。作为个人发展的一部分,这些人才被允许加入创业公司三个月,并为技术,金融,市场研究和战略等主题做出贡献。在完成该计划后,ASML的人才返回工作,他们所有人都建立了牢固的关系,人才仍然可供InPhocal临时寻求建议和指导。InPhocal将继续其使命,成为激光加工的新标准,同时加强埃因霍温地区的高科技生态系统。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 124 |
| 我们社区的重要合作伙伴 | | | | |
| 作为全球技术领导者和雇主,我们在我们运营的社区中发挥着积极的作用—我们认识到,当社区蓬勃发展时,我们也会蓬勃发展。与此同时,我们的ASML基金会旨在通过教育和培训改善生活。 | | | | |
| | | | | |
€11.5m | 13,645 |
社区投资 | 对志愿者的时间投入—小时社区参与 |
| | | | | |
4,736 | 411 |
对志愿者的时间投资—小时技术推广 | 获资助的项目总数 |
| | | | | | | | | | | |
| 在本节中 | |
| 125 | 我们2022年的整体表现 | |
| 126 | 教育 | |
| 128 | Arts & Culture | |
| 130 | 当地外联 | |
| 132 | ASML基金会 | |
我们的方法
ASML的成功和增长对我们开展业务的社区产生了重大影响,特别是在我们的大型网站(Brainport Eindhoven地区、威尔顿、硅谷、圣地亚哥和新竹),在那里ASML及其供应商和合作伙伴网络创造了大量的就业机会和社会活动。
我们的目标是成为我们社区中有价值和值得信赖的合作伙伴,提高所有人的生活质量,特别关注弱势社区。我们的社区参与计划由我们的首席执行官负责,建立在ASML有能力并能够产生影响的三大支柱之上:
1.教育
2.Arts & Culture
3.当地外联
我们的企业公民活动不仅限于社区支持,还包括为初创企业和团队做出实物贡献,旨在培育未来年轻科技公司的创新。
更多内容请阅读:
社交创新生态系统--支持初创企业和创业团队。
通过我们的全球志愿服务计划,我们鼓励员工更多地参与当地社区。每个人都可以利用一年中的一天作为有偿志愿服务日,参加符合我们志愿服务政策的活动、慈善机构或活动。员工也可以志愿参与ASML基金会的项目。
在这一章中,我们概述了我们对社区外展的方法,以及我们为改善教育、艺术和文化以及地方外展而采取的行动。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| 我们社区的重要合作伙伴 | |
| | | | |
| SDG目标 | | | 我们如何衡量 我们的表演 | |
| | | | | | |
| SDG目标4.4 | |
| |
| 到2030年,大幅增加青年和成年人拥有就业、体面工作和创业所需的相关技能,包括技术和职业技能的人数 | | –社区参与和技术推广 | |
| | | | | | |
| SDG目标4.5 | |
| |
| 到2030年,消除教育中的性别差距,确保弱势群体,包括残疾人、土著人民和处境脆弱的儿童平等获得各级教育和职业培训 | | –ASML基金会项目 | |
| | | | | | |
| SDG目标11.2 | | | |
| 到2030年,为所有人提供安全、负担得起、无障碍和可持续的交通系统,改善道路安全,特别是通过扩大公共交通,并特别注意弱势群体、妇女、儿童、残疾人和老年人的需求 | | –社区参与
| |
| | | | | | |
| SDG目标11.4 | | | |
| 加大力度保护和维护世界文化和自然遗产 | |
| |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 125 |
| 我们社区中的重要合作伙伴(续) | | | | |
| | | | 已步入正轨或达到目标• 持续的重点领域n | |
我们2022年的整体表现
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | 进度跟踪 | |
| 主题 | | | 2025年目标 | | 绩效指标 | 2020 | 2021 | 2022 | 状态 |
| | | | | | | | | | |
| 我们社区的重要合作伙伴 | | | 无目标 | | 支持的ASML基金会项目 | 22 | 22 | 21 | 不适用 |
| | | 无目标 | | ASML基金会的捐赠价值 | €1.0m | €2.0m | €2.4m | 不适用 |
| | | | 无目标 | | 支持的项目 | 不适用 | 133 | 390 | 不适用 |
| | | 无目标 | | 捐款价值 | €3.1m | €8.1m | €7.9m | 不适用 |
| | | 无目标 | | 志愿服务费用共计 | €271k | €283k | €1,200k | 不适用 |
| | | 无目标 | | 志愿人员的时间投入(小时)—社区参与 | 1,333 | 2,393 | 13,645 | 不适用 |
| | | 无目标 | | 志愿者的时间投入(小时)—技术推广 | 2,936 | 1,886 | 4,736 | 不适用 |
我们作为造福人类和地球的高科技经济活动的来源受到欢迎,在2022年10月的Brainport Eindhoven调查中,我们的得分为7.8分。然而,我们在社区中的众多持份者也指出,我们不断增加的存在意味着我们期望和需要更多的参与,以确保社区中的每个人都能从中受益,而我们的存在带来真正积极的社会影响。
2022年,ASML用于慈善机构、社区参与、组织和我们自己的ASML基金会的现金承诺和实物支持总额约为1150万欧元。
我们的五个地点(Veldhoven,Wilton,硅谷,圣地亚哥和新竹)受益于实施和专门的社区参与计划。这些地点占我们业务的83%(按员工人数计算)。我们亦在其他地区推行规模较小的社区参与计划,并于未来数年逐步扩大至更正式的专门计划。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 126 |
| 我们社区中的重要合作伙伴(续) | | | | |
| 教育 | | | | |
我们的方法
教育作为“巨大的均衡器”和机会的创造者,需要让所有年龄段的人为日益数字化的未来做好准备。我们的STEM(科学、技术、工程和数学)强化教育计划旨在提高年轻人对技术的兴趣,并增加当地和地区的人才库。我们还提高了人们对一个提供许多发展机会的部门的职业前景的认识。STEM能力对于帮助儿童发挥他们的潜力很重要,特别是在弱势社区。同时,我们与老年人组织合作,帮助老年人弥合数字鸿沟。
我们组织和赞助了许多活动,旨在分享我们对技术的热情和专业知识,以激励所有世代。我们还与多个组织和教育活动合作,促进技术领域的职业发展。我们的员工是所有这些计划的榜样和指南。
教育团队与我们运营所在社区的学校和教育项目密切合作。它提供实际支持并协调ASML志愿者(称为ASML大使)网络,这些志愿者访问学校和活动,并在课程中支持儿童和学校,其中一些人担任兼职(“混合”)教师,一些人担任弱势儿童的家教,另一些人担任技术和STEM推动者。
ASML基金会旨在通过优质教育实现包容性和公平地参与社会,从而释放有需要的年轻人的潜力。ASML基金会是一个独立的基金会,与ASML有着密切的联系。它的运作保持一定的距离,并有自己的董事会和预算。它旨在通过发展他们的才华和帮助释放他们的潜力的教育倡议,增加世界各地代表不足和服务不足的青年的自给自足,更具体地说是在ASML开展活动的社区。更多内容请阅读:ASML基金会。
我们在2022年的表现
2022年,我们在我们运营的地区(荷兰、美国和亚洲)总共支持了221个教育项目。这些项目的总价值达90万欧元。
《我们的行动》概述了几个要点-有关更多信息,请访问
Www.asml.com-社区参与。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 127 |
| 我们社区中的重要合作伙伴(续) | | | | |
| | | | | |
| | | | | | | | | | | | | | |
| 我们正在尽自己的一份力量,确保每个年龄段的每个人都为日益数字化的未来做好准备,并确保所有年轻人都有机会接受技术教育,以发挥他们的潜力。 | |
| | | | |
|
| | | | |
我们在2022年的行动
正在进行的项目
–ASML初级学院(荷兰):9月,ASML初级学院与STEM教育和推广领域的知名品牌Mad Science合作,与58所小学合作。它每年为所有参与的班级(4—12岁的儿童)提供六次技术课程,旨在提高对STEM主题的认识。六节课中的一节课侧重于微芯片在我们日常生活中的作用,将由ASML的一名员工讲授。该伙伴关系还包括一个项目,以熟悉教师培训学生更多的STEM主题。目标是到2025年让埃因霍温区的所有271所小学都获得STEM课程的支持。
–维基媒体(global):我们向维基百科背后的组织维基媒体基金会捐赠了64,000欧元,以确保其持续性,并支持其继续成为人人免费和开放知识的资源。根据维基媒体的指导方针,随着员工基数的增长,这笔年度捐款将增加。
–荷兰技术节(荷兰):技术是我们是谁和我们在ASML做什么的核心。在一年一度的荷兰技术节上,我们分享这种激情和知识,以激励下一代科学家和工程师。2022年,我们强调了我们地区所能提供的最好的服务,所有这些都集中在一个地方,以激励超过22,000名年轻的思想家和实干家。
独立举措
–科学与工程之夜(美国):2022年7月,圣地亚哥儿童探索博物馆(San Diego Children's Discovery Museum)在下班后进行了改造,以举办科学与工程之夜的实践活动。作为主要赞助商,我们在这次教育活动中举办了一个展台,有七名ASML员工,展示了一个展览,教孩子们如何使用编码和编程将机器人带入生活,让他们有机会了解更多关于科学和工程的知识。
–BOYO Foundation(台湾):“启发你的潜力”项目旨在通过赞助教育工作者的工资和BOYO基金会的讲座材料来防止服务不足的学生辍学。除了提供资金,ASML基金会还与ASML社区参与小组合作,建立教师培训讲习班。截至2022年底,超过35名来自四所偏远学校的教师参加了我们的工作坊。我们还派出多名志愿者担任演讲者到学校,以鼓励服务不足的学生继续学习和探索他们的潜力。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 128 |
| 我们社区中的重要合作伙伴(续) | | | | |
| Arts & Culture | | | | |
我们的方法
虽然文化是一种无形的纽带,将社区的人们联系在一起,但艺术是文化变得可见的方式。为了加强这种联系,我们支持对社区文化至关重要的倡议和组织,并帮助他们为新来者和弱势群体打开大门。我们专注于社区中的文化偶像——对当地社区有影响力的组织和倡议。
我们在2022年的表现
于2022年,我们在营运地区(荷兰、美国及亚洲)共支持29个艺术及文化项目。这些项目的总价值为190万欧元。
《我们的行动》概述了几个要点-有关更多信息,请访问
Www.asml.com-社区参与。
我们在2022年的行动
正在进行的项目
–梵高博物馆和梵高·布拉班特(荷兰和全球):我们与梵高博物馆和梵高·布拉班特有着长期的合作关系,以帮助确保艺术家的作品和文化遗产扎根于荷兰布拉班特地区,可以世世代代享受。 通过这种合作,我们支持多个项目,包括:
–保护画作:与荷兰文化遗产局、阿姆斯特丹大学和梵高博物馆的保护者合作,ASML的一个工程师团队正在研究光线等外部因素如何影响梵高所使用的画作。 通过使用这些知识来优化展示条件并最大限度地减少收藏品的进一步退化,我们有助于为后代保存他的杰作。 2022年,我们在开发状况评估工具方面取得了稳步进展—我们期待在庆祝50周年期间展示我们的工作,这是2023年梵高博物馆周年纪念日
–Vincent's Lightlab:博物馆中心,重点是文森特的布拉班特年,有一个重大的扩张计划,包括“文森特的光实验室”,与ASML共同开发。计划于2023年5月重开。该项目的目标是每年欢迎4万名游客参观新的博物馆,并分享文森特·梵高的故事以及他在布拉班特寻找色彩和光线的过程。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 129 |
| 我们社区中的重要合作伙伴(续) | | | | |
| | | | | |
—教育项目:我们与梵高博物馆合作,为中小学生开发了科学与艺术的教育材料。艺术家的好奇心是他的手艺的关键,我们与博物馆一起鼓励学生追随他的脚步。
–2022年,我们参加了Vakkanjers计划,该计划设定了现实世界的比赛—每年与不同的行业合作伙伴合作—以及对青少年男孩和女孩的挑战,旨在帮助他们发现和发展自己的技能。通过这个项目,学校和公司合作,帮助培养未来的工匠。今年,梵高博物馆与ASML合作,挑战学生们思考创新的方式,为未来保存梵高的艺术品,以及赋予文森特的故事和人们通过使用技术组件和创造性的解决方案来体验他的绘画的方式新的维度。共有254所学校和12,000多名学生参加了这些挑战。
–在台湾,ASML和梵高博物馆推出了Masterminds & Masterpieces,这是一个国际STEM项目,覆盖了全国各地的学生。同事们与两个非营利组织密切合作,共同开发了一个混合计划,利用他们在开发离线和在线资源方面的专业知识。今年9月,与Commonwealth Magazine Foundation合作开发的流动图书开始前往台湾偏远地区的学校,支持更广泛的提高学生识字率的努力。ASML台湾招募了超过70名志愿者参与这项教育计划。校游及线上学习计划将持续至2022年底,预计2022年第四季度将有超过20,000名台湾小学生参加。
–GLOW Light Art Festival(荷兰):光是我们工作的关键,这就是为什么我们与荷兰埃因霍温一年一度的GLOW Light Art Festival合作。2022年11月,约有70万人参观了该节日。
–ASML on Stage(荷兰):ASML on Stage是一项一年一度的活动,融合了多种文化的音乐风格,所有活动都由ASML同事和朋友共同表演。2022年,ASML的17个ASML表演和1,400张门票售出,再次展示了我们员工对音乐的热爱和对科技的热情。
–聚焦(荷兰):与Muziekgebouw Eindhoven一起,我们主持聚光灯节目,任何通常没有机会的人都可以采取主要舞台和经验作为一个表演艺术家。2022年,共有437人参与并享受他们在聚光灯下的时刻。
独立举措
–梵高绘画节(全球):今年,医院艺术基金会(FFHA)和阿姆斯特丹梵高博物馆(Van Gogh Museum)的合作为世界各地的医院打开了大门,让他们用梵高的奇迹照亮他们的墙壁。梵高博物馆与FFHA合作,授权梵高六件最伟大的原创作品作为设计灵感。ASML首先获得了PaintFest Kit的设计,然后才公开购买。整个2022年3月,在梵高生日之前,全球五个ASML网站(威尔顿、圣何塞、圣地亚哥、Veldhoven和Hsinchu)邀请同事们来绘制壁画。750名同事参加了这次活动。所有完成的壁画在完成后捐赠给当地医疗设施,作为永久安装,以鼓舞和鼓舞病人及其家人以及医院工作人员。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 130 |
| 我们社区中的重要合作伙伴(续) | | | | |
| 当地外联 | | | | |
我们的方法
由于我们的业务集中在有限的地点,我们在这些社区的存在和影响对我们和我们的当地利益相关者都很重要。我们与社区成员以及当地政府的持续互动使我们有机会集中精力并提高我们的影响力。
虽然我们的利益相关者欢迎ASML作为可持续的进步和经济发展引擎在社区的存在,他们也注意到ASML的增长给社区带来了一些挑战。他们主要关注的是ASML不断增长和日益国际化的劳动力及其供应商网络。在我们的主要地点,这最近与不断上涨的房价和对稀缺工程人才的竞争有关,而交通拥堵一直是一个长期关注的问题。
| | |
|
|
对我们来说,重要的是我们世界各地社区的每个人都能从ASML的存在中受益,并开发他们的潜力。 |
|
|
在布雷因波特埃因霍温地区,社区领袖还注意到,老牌居民和国际新来者之间的紧张关系日益加剧,他们都声称自己在公共和非公共服务中享有公平的份额。除了这些发生在公共领域的主要担忧之外,地方政府领导人还要求成功的企业成为更具包容性的雇主,并为目前从高科技产业带来的繁荣中受益较少的弱势当地居民提供发展和职业机会。
在这些影响较大的地区,我们的目标是进行明智和可持续的干预。为了应对交通拥堵,我们积极鼓励员工选择健康和可持续的交通方式,如骑自行车和公共交通,通过我们已经运行了几年的成功的Access&Mobility计划。
我们通过促进STEM来支持教育和发展,ASML的员工担任“混合型教师”,辅导弱势学生,帮助增加具有专业资格的年轻人的数量。对于我们的邻居和当地利益相关者,我们投资于当地的便利设施和服务,同时我们使我们的员工能够参与社区服务,并分享他们的知识和专业知识。在我们所有的伙伴关系和项目中,我们特别重视鼓励融合、促进多样性和赋予弱势群体权力。
我们与主要参与者合作,并为高影响力的计划和项目提供资金,这些计划和项目也对我们的ESG战略做出了量化贡献,并得到了经批准的、稳健的治理结构的支持。
我们在2022年的表现
2022年,我们在我们开展业务的地区(荷兰、美国和亚洲)共支持了140个地方外展项目。这些项目的总价值达510万欧元。
《我们的行动》概述了几个要点-有关更多信息,请访问
Www.asml.com-社区参与。
我们在2022年的行动
正在进行的项目
–礼物匹配(美国和荷兰):我们致力于支持我们人民关心的事业,我们在美国和荷兰推出了礼物匹配计划。我们通过全球配对礼物计划匹配对非营利组织的捐赠,每位员工每年最高可达1,000欧元。这意味着,当一名员工向一个合格的组织捐赠100欧元时,我们就会与他们的慷慨程度相匹配,并向同一组织再捐赠100欧元。该项目最初在美国推出,已经成功匹配了近15万美元的员工捐款。我们期待着于2023年在亚洲推出该项目。
–PSV足球俱乐部(荷兰):在一项独特的赞助创新中,ASML和其他五个合作伙伴联手赞助当地专业足球俱乐部PSV。通过这一伙伴关系,我们致力于促进埃因霍温布雷因波特地区成为一个有吸引力的生活和工作环境。我们支持多个计划,包括:
–飞利浦体育场的 社区休息室:旨在让每个人都能接触到足球,帮助新来者在我们地区找到自己的位置,让没有经济能力的人享受一个顶级运动之夜。我们欢迎志愿者 和来自粮食银行、老年人联合会、塞维里纳斯、救世军和其他援助机构等团体的客户来到会场,2022年 总计超过4,200名客人。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 131 |
| 我们社区中的重要合作伙伴(续) | | | | |
| | | | | |
–作为我们与埃因霍温合作的一部分,我们能够使用他们的球场一天。我们在2022年充分利用,邀请周末学校的埃因霍温孩子。我们还欢迎500名小学生在参加PSV Brainport学校挑战赛时展示他们的创新想法,以及400名乌克兰儿童和他们的主管,他们享受了一些从他们的麻烦中得到缓解的机会。
–Brainport Eindhoven和PSV的在线活力平台仍在运行,为Brainport Eindhoven地区的每个人提供健康和福祉的灵感和动力,为所有人创造一个充满活力和健康的地区。
–PSV Analytics:PSV Sport performance和ASML BAS Big Data之间的合作项目旨在帮助这家荷兰顶级足球俱乐部解锁、使用和优化其收集的大量数据,并将其转换为动态图像,分析比赛计划。 这项工作激励了我们的技术人员,因为我们合作并支持俱乐部与其更大(和更富有)的对手竞争。
–Open Huis(荷兰):经过两年的停顿,我们很高兴再次强调我们作为一个好邻居的作用,并欢迎2400名Brainport Eindhoven居民来到Veldhoven校园。这些众所周知的邻里节第七次以新名称“开放惠斯”回归,并在9月举行,不仅是一天,而是四天。脑港地区的所有居民都可以在网上注册,很快就满了。参观者在300多名ASML大使的指导下,享受了一个充满活力的节目。我们举办了各种校园参观,分享了我们在当地新ASML建筑的计划,对我们的机器如何工作进行了有见地的介绍,安排了梵高的工作坊,领导了疯狂的科学实验,举办了一个配有洁净室套装的照片角等等。我们的邻居特别注意到校园的全尺寸跑道,24小时开放的市场,从19楼俯瞰我们社区的壮丽景色和非常整洁的洁净室。
–ASML埃因霍温马拉松(荷兰):一年一度的ASML埃因霍温马拉松于2022年10月举行。创纪录的1,700多名ASML同事(去年的900人)参加了各种比赛,包括全程马拉松、半程马拉松、接力和四分之一马拉松。 欢迎任何人,任何年龄,经验或能力的参与,我们鼓励我们所有的跑步者穿着特殊的ASML衬衫自豪。参赛者和观众纷纷到场庆祝这座城市和这一挑战的精神,超过25000名参赛者参加了比赛。
独立举措
–血库(美国):圣地亚哥血库和美国各地的血库正在经历捐赠者投票率的大幅下降,导致血液供应中断。2022年,我们的圣地亚哥办事处举办了六次献血活动,以帮助社区。148名圣地亚哥员工和47名社区成员捐赠了180个单位,这将有助于拯救544人的生命。
–支持乌克兰(荷兰):俄罗斯入侵乌克兰迫使数百万人背井离乡,前往欧盟和邻国避难。ASML帮助埃因霍温市政府和社会组织Spring—040在一个专门创建的避难所中容纳了100多名难民。我们与来自Brainport合作伙伴基金的合作伙伴合作,帮助安装了庇护所,并提供了玩具和用品,以软化儿童的体验。我们还与我们的合作伙伴PSV一起在飞利浦体育场为400名乌克兰儿童组织了一个下午的娱乐和游戏。
–威尔顿土地保护信托基金(美国):超过30名ASML威尔顿土地保护信托基金(Wilton Land Conservation Trust)的员工与威尔顿土地保护信托基金(Wilton Land Conservation Trust)联手清除威尔顿申克岛公园的入侵植物物种。这些入侵植物被当地的蓝莓灌木取代,这将为本地动物和当地的徒步旅行者提供食物。
–Rise Against Hunger(美国):ASML Wilton与Rise Against Hunger合作,这是一个国际饥饿救济非营利组织,负责协调食品和其他援助品的包装和分发给世界各地的人们。超过140,000份食物被包装并运送到我们需要的邻居。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 社交续 | 战略报告 | 治理 | 金融类股 | 132 |
| 我们社区中的重要合作伙伴(续) | | | | |
| ASML基金会 | | | | |
我们的方法
ASML基金会是我们的首选慈善机构,主要关注为有需要的年轻人提供有影响力的包容性教育和培训计划。其使命是通过包容性和高质量的教育和培训改善生活,目标是促进公平参与社会。该基金会旨在对可持续发展目标4(优质教育)产生可持续的影响,并为可持续发展目标5(性别平等)、可持续发展目标10(减少不平等)和可持续发展目标17(实现目标的伙伴关系)做出贡献。
我们相信,所有人都应该接受高质量的教育,让他们能够自给自足,无论他们的背景如何。我们的目标是帮助那些参与我们支持的项目的人,以提高他们过上更好生活的机会。在多样性方面,我们的项目选择旨在改善服务不足的群体的包容,如有色人种、神经分化人群和来自较不富裕背景的人群,从而解决我们的目标群体可能面临的不利条件,如受教育机会有限、特殊教育需求或缺乏职业培训。
由于ASML基金会旨在为ASML的社区做出贡献,它主要支持EMEA、美国和亚洲的项目和倡议,以满足ASML地区的特定需求。例如,在荷兰的Brainport Eindhoven地区,消除文盲仍然是ASML基金会的一个关键重点领域,同时支持向神经分化青年提供帮助的组织,特别关注自闭症和高天赋。在美国,项目主要集中在防止贫困地区的辍学,以及为女孩和特定少数群体推广科学、技术、工程和数学(STEM)。亚洲的项目因国家而异。例如,在亚洲发展中地区,重点是女童教育,以减少不平等现象,并防止童婚。在中国,重点是农村地区女孩的科学、技术和工程。
在可能的情况下,ASML基金会大力促进具有相似重点但具有互补性的组织之间的合作。这导致了一些明显为各组织增加价值的举措,从而改善了对我们一些目标群体的支持。
ASML员工从ASML员工商店购买商品时,会在经济上为ASML基金会提供支持,基金会还经常收到一些同事的私人捐款。
我们在2022年的表现
2022年,基金会捐赠约240万欧元(2021年为200万欧元),支持9个国家的21个项目。在基金会的财政支持下,基金会为改善约120万青年人的生活作出了贡献。我们的员工共贡献了13,645个志愿者小时用于社区参与,以及4,736个小时用于技术推广。由于COVID—19措施的放宽,本集团录得较往年有所增加。
2022—2025年行动计划
社会和社区参与的下一步行动
我们的声誉和运营许可在很大程度上取决于我们运营的当地和区域社区—我们需要他们的支持来执行我们的战略。我们持续强劲的增长和日益提高的知名度意味着这些社区对我们的期望要高得多。因此,我们的目标是在未来几年内将我们在全球各地的社会和社区参与活动方面的投资增加10倍。这些活动将重点放在社会凝聚力、人才和教育、数字包容和员工参与度。这也导致了ESG社区伙伴关系计划团队的创建。这个新团队将为所有公司范围内的社区外展活动提供综合治理,并监督我们不断增加的投资。
作为我们加强工作的一部分,我们将确定我们在这一主题上的行动,制定具体目标,并实施一个程序来监测我们的方法的有效性。我们与当地利益相关者以及员工密切合作,目标是增加我们在所有这些领域的积极影响,并加强ASML作为我们周围社区中强大、可靠和有价值的合作伙伴的地位。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理 | 战略报告 | 治理 | 金融类股 | 133 |
| 治理概览 | | | | |
| | | | | | | | | | | | | | |
| | | | |
| | 我们要做的是 | |
| | | |
| | 我们倡导良好的综合企业管治,与股东、客户、供应商、员工及社会等持份者建立信任、尊重及互惠互利的关系。在本ESG管治章节中,我们描述我们如何在业务内组织环境、社会及管治事宜的管理,以及确保我们成为负责任企业的其他方式。 | |
| | |
| | 我们的目标 | |
| | | |
| | 作为为芯片行业制造关键系统的创新者,我们有责任以身作则。我们致力于在经营所在的所有国家遵守适用的法律及法规开展业务。我们根据积极从内部及外部持份者取得的反馈,致力达致最高诚信标准,并持续改善我们的管治。我们希望以诚实的态度开展业务,并在整个生态系统中拥抱开放的对话和知识共享。 | |
| | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| 管理ESG可持续性、负责任的企业, 我们的征税方法 | | 阅读更多信息,请参阅第134、135和147页> | |
| | | | | | |
| | | SDG 8 | | –管理ESG可持续性 –商业道德和行为准则 –法律和合规 –反贿赂和反腐败 –竞争法合规政策 –隐私保护 –尊重人权 –信息安全 –我们的征税方法 | |
| | 促进持续、包容和可持续的经济增长、充分和生产性就业以及人人有体面工作。 | | |
| | | | |
| | | | | | |
| | | SDG 12 | | –产品安全 | |
| | 确保可持续的消费和生产模式 | | | |
| | | | | |
| | | | | | |
|
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 134 |
| 管理ESG可持续性 | | | | |
| | | | | |
我们将环境、社会及管治可持续发展作为企业策略的一部分。环境、社会及管治可持续发展管治的目的是监察及指导我们的组织,以实现我们在2025年成为最佳表现者的雄心。这包括多个层面,以推动问责和执行,包括监事会、管理委员会、ESG可持续发展团队、特定主题行动负责人以及业务线和部门的专家。
我们的管理委员会制定综合策略的ESG可持续发展方面,并监督其执行。管理委员会定期举行会议,就相关事宜提供指导,包括与气候有关的风险及机遇。
监事会就与公司相关的ESG可持续发展方面进行监督、监察并向管理委员会提供建议(见议事规则)。这包括应对与该战略有关的主要风险和机遇。
我们的ESG可持续发展团队在ESG可持续发展方面为管理委员会提供支持。这可包括关于重点领域、目标、外部承诺和披露的建议。此外,环境、社会及管治可持续发展团队负责监察风险及机遇(包括气候变化相关事宜)、全球趋势、持份者期望及(同行)、可能影响我们短期、中期及长期环境、社会及管治可持续发展目标的最佳常规。
ESG可持续发展战略主题由一个或多个跨职能圆桌会议推动。执行战略的责任在于业务线和部门。管理委员会每季度监测进展情况。
此外,我们通过企业风险管理(EMM)流程识别及评估环境、社会及管治可持续发展相关风险及机遇(包括气候变化风险)的影响。
阅读更多内容:
冒险。
我们在可持续发展领域的表现是管理委员会和高级管理层长期奖励计划的一部分。
阅读更多内容:
薪酬报告。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 135 |
| 负责任的企业 | | | | |
| 为集体利益赋予个人权力,以确保我们的员工为我们工作感到自豪,并实现我们作为一家公司的雄心壮志。 | | | | |
| | | | | | | | | | | | | | |
| | | | |
| 414 | | 10% | |
| 畅所欲言
| | 性别多样性女性在高级(13岁以上)职级中所占比例(2024年目标:12%) | |
| | | | |
| | | | |
| | | | |
| | | | | | | | | | | |
| | | |
| 在本节中 | |
| 136 | 商业道德和行为准则 | |
| 139 | 法律与合规 | |
| 139 | 反贿赂和反腐败 | |
| 139 | 竞争法合规政策 | |
| 140 | 出口管制 | |
| 140 | 隐私保护 | |
| 141 | 尊重人权 | |
| 142 | 信息安全 | |
| 145 | 产品安全 | |
我们是半导体行业的全球领导者。
作为为芯片行业制造关键系统的创新者,我们有责任以身作则。我们的目标很明确——“通过将技术推向新的极限来释放人和社会的潜力”——我们希望我们的价值观体现在我们为追求目标所做的一切。
除了我们策略中的重要重点领域外,我们需要确保我们以负责任的方式开展业务。无论我们在何处经营,我们相信以诚实经营业务,并以最高标准诚信行事,对我们为利益相关者群体创造价值以及公司的长期成功至关重要。
我们已制定企业政策及程序,详细说明我们的原则及合规性,指引我们作出正确决策及实践我们的价值观。
在接下来的章节中,您可以找到更多关于我们的商业道德和行为准则、合规、我们尊重人权的责任、信息保护和税务等主题的信息。
| | | | | | | | | | | | | | | | | |
| | | | | |
| 负责任的企业 | |
| | | | | |
| SDG目标 | | 我们如何衡量业绩 | |
| | | | | |
| 可持续发展目标目标8.7 | |
| |
| 立即采取有效措施,消除强迫劳动,结束现代奴役和人口贩运,确保禁止和消除最恶劣形式的童工劳动,包括招募和使用儿童兵,并在2025年前消除一切形式的童工劳动。 | | –发声留言数 | |
| | | | | |
| 可持续发展目标8.8 | | | |
| 保护劳工权利,促进所有工人,包括移徙工人,特别是移徙妇女和就业不稳定者的安全和有保障的工作环境 | | | |
| | | | | |
| 可持续发展目标目标12.4 | |
| |
| 到2020年,根据商定的国际框架,在化学品和所有废物的整个生命周期内实现无害环境管理,并大幅减少其向空气、水和土壤的释放,以尽量减少其对人类健康的不利影响 | | –所用部件符合RoHs/REACH要求 | |
| | | | | |
| | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 136 |
| 负责任的业务(续) | | | | |
商业道德和行为准则
我们致力于在经营所在的所有国家遵守适用的法律及法规开展业务。我们提倡和维护道德行为,培养鼓励和欣赏大声说话的文化。
我们寻求不断改进和专业化我们的道德和相关合规组织,以达到最高标准。于2022年,我们继续扩大道德联络人网络,为彼等提供量身定制的培训课程,更新我们的反贿赂及反贪污及反欺诈政策,并更新我们的礼品及娱乐政策。这些政策反映了预防原则作为指导原则。我们继续开展培训计划,并专注于提高整个组织的意识。我们的下一次全球伦理调查将于2023年进行,作为we @ ASML pulse调查的一部分。
我们的价值观—挑战、协作和关怀—指导我们与员工、客户、供应商、股东和我们所服务的社会的日常交往。这些价值观反映在我们的行为准则(以下简称:准则)中。守则为我们经营业务的方式设定了明确的期望和指导原则,并有助于培养诚信、道德和尊重的文化。与一套实用的指导方针一起,它把诚信放在我们工作的中心。
在ASML,我们非常依赖员工的技能、承诺和行为来持续取得成功,并为社会做出积极贡献。这就是为什么我们期望所有员工充分遵守公司的价值观,并在任何时候都以正直和尊重的态度行事。我们要求所有员工和业务伙伴遵守我们的守则。
十多年来,我们一直是负责任商业联盟(RBA)的成员,该联盟是全球最大的行业联盟,致力于全球电子行业的企业社会责任。作为澳大利亚广播公司的成员,我们采纳了澳大利亚广播公司行为准则,这是一项旨在确保电子行业或以电子为关键部件的行业及其供应链的工作条件是安全的,工人受到尊重和尊严的对待,以及企业运营对环境负责并符合道德规范。
我们的行为准则符合RBA的行为准则。为加强我们对供应商网络的承诺,我们希望我们的主要供应商(占我们总支出的约80%)及其供应商认可并遵守RBA行为准则,并制定自己的策略、政策和流程以遵循该准则。这一要求包含在我们的长期产品相关供应商合同中。我们亦鼓励供应商制定其本身的可持续发展策略、政策及流程,并积极鼓励供应商遵守此守则。
| | | | | | | | |
| | |
| 我们的道德管理包括几个层面,包括: 1.我们的道德委员会由首席执行官担任主席,向审计委员会和管理委员会报告。道德委员会负责制定和监督ASML遵守法律和道德要求。道德操守委员会定期举行会议,就相关问题提供指导,并批准相关政策。 2.我们的道德委员会会调查有关全球潜在违反ASML行为准则的重大通知。 3.我们的道德操守办公室负责监督和实施我们的道德操守计划。所有可能违反ASML《行为准则》的报告均由一名道德干事审查,所有重要报告均与道德委员会讨论。 4.我们的道德组织包括员工,除了在ASML的常规角色外,还在我们运营的所有国家担任道德联络员。他们是值得信赖的代表,并作为员工在与道德有关的问题和关注时的第一个当地联系人。 | |
| | |
| | |
我们的价值观—挑战、协作和关怀—指导我们与员工、客户、供应商、股东和我们所服务的社会的日常交往。 |
|
|
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 137 |
| 负责任的业务(续) | | | | |
我们的行为准则原则
| | | | | | | | | | | |
| | | |
| | 我们的承诺 | |
| 我们尊重人们 | ASML致力于维护一个安全和健康的工作环境,尊重人权,符合国际法律法规和行业标准,如澳大利亚皇家银行行为准则。文化、教育和人才的多样性使我们成为一个更强大、更具创造力和创新力的公司。通过共同努力,并利用这些价值观来指导我们,我们创造了一个基于相互尊重的环境——一个比我们任何人都能单独获得更好的结果的环境。 | |
| 我们诚信经营 | 强大的诚信和合规文化是ASML业务成功的基础。我们将“诚信”定义为诚实、真诚、谨慎和可靠。合规性不仅意味着遵守法律法规,也意味着我们的高道德标准。我们诚信的声誉是宝贵的资产。对于我们来说,在任何时候都必须展示个人和商业诚信。 | |
| 我们致力于安全和社会责任 | 技术普及到社会的各个方面。通过帮助制造更实惠、更强大的芯片,ASML可以发挥重要作用——不仅是声誉和结果,而且在环境方面也是如此。这就是为什么ASML致力于负责任地开展业务,在履行法律和道德义务的同时实现可持续增长。我们的目标是以关怀及负责任的态度达成主要原则所概述的业务目标。 | |
| 我们保护我们的资产 | ASML最宝贵的资产是其员工和知识,这两者都受到高度重视和保护。我们的“资产”包括知识产权、商业秘密或其他专有信息,指无形资产,如技术诀窍、产品数据、业务数据和个人数据,以及用于开展ASML业务的实物资产,如产品、工具、资金和计算机。我们公司希望任何受托管理ASML资产的人都能保护它们免受丢失、损坏、滥用或盗窃的危险。 | |
| 我们鼓励您沟通并大声说出来 | 为履行我们的承诺,坚持本守则中所述的高标准诚信,沟通是关键。我们努力创造一个鼓励员工之间以及员工与第三方之间进行公开对话的工作环境,让员工感到舒适和尊重,并且他们可以信任对方做正确的事情。如果您发现或怀疑有违规行为,我们鼓励您大声说出来。 | |
| | | |
我们的守则可在我们的网站上供所有利益相关者查阅
www.asml.com
促进道德行为
我们专门的道德计划和相关的合规计划提供必要的支持、建议、培训和沟通,使员工和其他人能够理解和遵守我们的准则。为此,它通过各种传播渠道提高认识,以促进高度正直的文化。它还有助于创造一种开放和诚实的文化,促进整个组织遵守法律和ASML政策。
2022年,我们继续延长道德培训课程。
除了向所有员工提供的通用模块外,课程还将包括根据潜在接触情况针对特定受众的模块。该课程旨在支持管理层和员工做出决策,宣传我们的准则和其他与合规相关的主题,并提高人们对道德行为和我们的直言不讳和不报复政策的重要性的认识。它还提供了关于处理诸如工作中的个人关系、利益冲突、围绕辅助活动或ASML以外的其他职位的文化差异和伦理方面的主题的信息和指导。在我们的培训计划中,我们特别关注所有新员工;在ASML开始的前三个月内,他们会收到完成课程第一模块的邀请。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 138 |
| 负责任的业务(续) | | | | |
鼓励人们畅所欲言
2022年,在更新了我们的直言不讳和不报复政策,满足了欧盟告密指令的要求后,我们继续专注于将不报复的概念置于我们所做工作的核心。我们坚信,员工应该放心地向公司表达他们的担忧,而不会因为害怕报复而感到担忧。这些政策和程序让员工放心,他们可以报告违规行为,而不必担心后果。ASML对报复行为零容忍。
2022年,我们还重点更新了我们的内部道德调查程序,其中概述了道德投诉的调查阶段,从第一次报告到补救行动和最终结案。
有关直言不讳、不报复、我们的道德调查程序、匿名和隐私的更多信息,请参见www.asml.com上公开提供的我们的直言不讳和不报复政策。
我们鼓励每个人,包括外部业务合作伙伴,如供应商、承包商和其他工人,表达他们对可能违反我们的准则、我们的公司政策、法律或我们的价值观的任何担忧。我们提倡一种开放的信任和诚实沟通的文化,在这种文化中,违反守则的行为是不被容忍的。我们在直言不讳计划中有几个不同的渠道来报告此类担忧,包括在线报告工具(由独立的外部服务公司托管)、我们开展业务的每个国家的电话号码、专用电子邮件地址以及通过我们的道德联络人。对于喜欢匿名的员工或外部利益相关者,可以使用直言不讳服务匿名报告违规行为。道德操守办公室的作用是评估每一份畅所欲言的报告,并采取适当行动处理报告,以便适当的机构能够采取任何适当的补救行动。
我们审查和评估所有的发言信息,并在可能的情况下通过向报告方提供反馈来跟踪所有这些信息。如有必要,我们将与报告方和/或交易对手接触,以了解发言信息的性质,并进行更详细的分析和/或调查。如有需要,我们会采取补救行动,以防止复发。
2022年,我们登记了414份道德操守相关报告(2021年为396份)。
在这些"大声说出来"报告中,有16项投诉被视为道德委员会的调查。这些都遵循正式的道德投诉调查程序。截至本年报刊发时,十宗道德投诉的调查程序已完成。在这一总数中,有两项投诉被认为没有根据—没有违反《刑法》—和两项部分得到证实。对六宗经证实的投诉,当局采取的纠正行动包括发出警告信、暂时停职或即时解雇。其余6起道德操守投诉仍在正式调查过程中。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 139 |
| 负责任的业务(续) | | | | |
法律与合规
我们的法律与合规职能部门负责监督各种与合规相关的领域的遵守情况,并就监管框架(包括立法和法规的变更)向管理层提供建议。该职能旨在确保我们在开展业务时遵守所有相关国家和国际法律法规,以及专业标准、公认商业惯例和我们自身的内部标准。遵守法规的领域包括证券和内幕交易、竞争法(反托拉斯)、出口管制以及反贿赂和反腐败。如有需要,我们的法律与合规部门负责任何监管调查。
反贿赂和反腐败
ASML不容忍贿赂、贪污或任何形式的不当影响同事或其他人。我们致力于个人和商业诚信的最高标准。于二零二二年九月,我们的反欺诈及反贿赂及反贪污政策均已更新。反贿赂及反腐败政策详细说明了我们对严格道德和诚信的承诺,以及我们为防止ASML的贿赂和腐败而采取的措施。ASML不允许员工接受或提供便利付款或代表公司进行政治献金。该政策亦要求遵守适用的反贿赂及反腐败法律以及ASML行为守则。
欲了解更多信息或下载政策,请访问:
www.asml.com.
我们的礼品和娱乐政策详细说明了所有ASML员工在赠送和接受礼品或娱乐(包括商务餐)方面的行为,并支持我们以专业、道德和透明的方式开展业务的承诺。该政策亦是我们合规及反贿赂及反腐败计划的关键元素。我们要求我们的员工始终遵守本政策,使用常识,并在需要时寻求本政策和解释性材料(如常见问题和流程图)中概述的指导或支持。该政策的一个重要内容是,某些类别的第三方礼品或娱乐需要事先批准。这使我们能够记录这些类别中赠送和接受的礼品和娱乐的登记,这有助于我们遵守政策以及法律法规。赠送和接受礼品和娱乐活动绝不应影响或似乎影响我们商业决策和交易的完整性,或有关各方的忠诚度。
于2022年,我们更新了有关欺诈、反贿赂及反贪污主题的培训课程,推出了一项全员工强制性电子学习课程(作为道德培训课程的一部分),并为特定持份者群体提供额外课堂培训。我们正在进一步加强我们的全球第三方尽职调查计划。
于2022年报告年度,ASML并无就贿赂及贪污方面的监管罚款或行动。
竞争法合规政策
我们认为遵守竞争法是我们业务的重要组成部分。竞争法(又称“反垄断法”)保护有效竞争,以确保市场的最佳运作。竞争法影响ASML日常业务的许多领域,并影响我们与客户、供应商、合作开发商和其他业务伙伴的交易和互动。
我们致力于与业务伙伴(包括供应商、共同开发商、客户及其他行业同行)打交道时,公平竞争及公平的原则。因此,ASML不容忍任何形式的行为,根据适用的竞争法被认为是非法的或违反我们的行为准则,我们不会与采取反竞争行为或建议进入非法行为的业务伙伴进行业务或合作。
为此,我们已制定一般及具体的监控措施,以防止、侦测及披露潜在的竞争法问题,包括以下各项:
竞争法合规风险评估:
我们定期对相关竞争法重点领域进行风险评估。这一评估确定并考虑到从竞争法角度来看可能存在的风险、已经实施的控制措施、剩余的风险以及将采取哪些措施来减轻剩余的风险。
政策审查:
我们的竞争法合规政策表明我们持续致力于确保遵守适用的竞争法和我们的行为准则。员工或业务合作伙伴违反本政策的任何行为将被视为严重违反ASML的行为准则。因此,这可能导致采取适当的纪律措施,包括开除。我们于2020年发布了该政策的公开版本。ASML定期检讨此政策,并于二零二一年发布内部政策的更新版本。
培训和认识:
我们的竞争法培训计划包括不同方法的组合,包括基于计算机的和面对面的培训课程。此外,我们还通过定期沟通,例如在我们的内联网上发表演讲和文章,或通过电子邮件沟通,提高对竞争法相关主题和问题的认识。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 140 |
| 负责任的业务(续) | | | | |
与业务伙伴的联系:
我们希望我们的业务合作伙伴(如客户、供应商、顾问、承包商和中介机构)表现出与我们一致的高标准道德行为。我们不会与采取反竞争行为或建议进入非法行为的商业伙伴进行业务或合作。我们坚决谴责业务伙伴的任何反竞争行为。
报告和解决问题、违规或投诉:
我们将支持拒绝采取反竞争行为或举报潜在违反我们政策的员工和业务伙伴,正如我们的"直言不讳和不报复政策"中明确规定的。我们不容忍任何形式的报复或其他形式的不利后果,严格遵守竞争法规则的员工或对那些说话的员工,即使我们因此失去业务。于二零二二年,我们并无因违反竞争法而招致任何罚款。
欲了解更多信息,请下载我们的ASML公开的竞争法合规政策:
www.asml.com.
出口管制
我们承诺遵守全球所有适用的出口管制法律。我们已实施旨在促进合规和防止未经授权交易的政策和程序。 员工须遵守我们的政策及程序。此外,我们还制定了信息技术管制和其他措施,旨在促进防止无意中违反出口管制要求的行为。
我们定期评估该等政策、程序及监控的有效性,并于必要时予以更新。例如,我们最近更新了与美国政府于2022年10月对半导体制造项目实施的额外出口管制有关的政策和程序。
| | |
|
我们希望我们的业务合作伙伴—客户、供应商、顾问、承包商和中间商—表现出与我们一致的高标准道德行为。 |
|
|
隐私保护
我们致力于尊重和保护员工、客户、供应商以及与我们有业务往来的所有人的隐私权。个人数据以专业、合法和道德的方式管理,符合我们的行为准则,并遵守适用的法律和法规。
我们有技术和组织措施,旨在防止意外或非法破坏、丢失、更改、未经授权的披露或访问个人数据。我们的隐私政策从ASML作为一个全球性组织的角度设定了最低要求。该政策对所有ASML员工具有约束力,并适用于处理我们员工、求职者和业务伙伴(如客户、供应商、访客和其他个人)的个人数据。
专门的隐私和个人数据保护计划确保我们遵守高标准的个人数据保护。除其他内容外,该方案涵盖:
–治理:在高级管理层,企业风险委员会负责监督隐私问题,而隐私办公室负责管理隐私框架并提供协助和指导。每个员工都有责任阅读和理解隐私政策的内容和含义。
–系统和程序:隐私控制框架包括130项隐私活动,包括隐私影响评估和数据保护影响评估。隐私控制框架包含在我们的企业风险管理流程中。
–纪律处分:我们调查所有在我们的隐私门户网站上登记的事件、关注和潜在违规报告,如我们的个人数据违规程序所述。我们采取适当的监控措施及纪律处分以防止再次发生。
–审计:隐私包含在我们的内部审计计划中。我们针对业务合作伙伴和招聘的隐私声明均来自我们的隐私政策。他们解释了为什么收集个人数据以及ASML如何使用它。
于二零二一年,我们更新了员工、求职者、业务伙伴及访客的全球隐私声明。新的隐私声明反映了ASML内部对个人数据的最新处理,并符合适用的隐私法律和法规的要求,例如GDPR(欧盟)和CCPA(美国)。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 141 |
| 负责任的业务(续) | | | | |
尊重人权
ASML是有意诚信的积极倡导者,特别是考虑到其对社会的责任。这意味着,在关键问题上,
比如维护人权。我们开展业务
我们希望所有与我们合作的人都能做到这一点。为此,我们还认为,我们不仅有责任尊重人权,而且有责任在整个组织倡导人权,以帮助对社会产生积极影响。我们围绕ESG框架所做的工作,我们在多样性和包容性方面采取的步骤,我们的福祉计划,以及我们将诚信作为我们文化的一部分的持续努力,都是为了在ASML内倡导人权。我们致力于尊重普遍人权,尊重《行为守则》中表达的道德价值。我们支持《联合国关于和平的指导原则》所规定的原则,
企业与人权。2017年,我们启动了我们的人权政策,该政策可在www.example.com上公开查阅,反映了前面提到的预防原则,并从整体的角度看待在我们的组织中嵌入和保护人权。
这项政策的规定源自主要的国际人权标准,包括劳工组织《关于工作中的基本原则和权利宣言》和《联合国人权宣言》、《联合国全球契约》以及经合组织《多国企业准则》中规定的原则。2023年,我们将检讨现行政策,以确保我们不仅符合最低要求,而且在必要时作出调整,并考虑是否可以引入额外措施,以达到我们在该领域成为领导者的目标。此外,我们将审查已实施的程序的有效性,以识别、管理和预防对ASML业务具有重大意义的不利人权影响。
我们的人权政策补充了我们遵守的ASML行为准则和澳大利亚皇家银行行为准则。它表达了我们在运营和供应链中对人权和负责任的劳动实践的承诺。人权政策适用于ASML及其在世界任何地方的子公司。在我们的直接业务中识别和管理人权问题的总体责任属于我们的人力资源执行副总裁的职权范围。我们供应链中的人权责任属于我们的采购和供应链执行副总裁的职权范围。
界定突出的人权问题
突出的人权问题是那些可能因公司的活动或商业关系而受到最严重负面影响的人权。我们致力于解决和积极参与我们突出的人权问题,这在我们的行为准则、人权政策和RBA供应商行为准则中得到了突出的强调。我们以各种方式识别和管理人权问题,例如透过持份者参与、评估我们自身业务中的人权、供应商尽职调查和可持续发展风险管理。
更多内容请阅读:
社会—我们的供应链。
2022年,我们没有收到有关侵犯人权的投诉。
我们的业务
在进行风险评估以识别自身业务中与人权相关的内在风险后,我们决定检讨现行政策并于二零二三年更新。我们先前的分析结果显示,我们自身业务中固有的人权脆弱性风险包括工时和加班、健康和安全以及工作场所骚扰。该方案确定的弱势权利持有者群体是承包商、少数民族和移徙工人。我们继续通过定期的内部EHS审计来监控这些问题。
更多内容请阅读:
社交—为所有人提供有吸引力的工作场所—最佳员工体验。
工作时间和加班
我们营运地点的每周标准工作时间平均为40小时。我们公司的标准是基于国际劳工组织的国际劳工标准(40小时工作周公约)和RBA规范。每周工作时间不得超过当地法律规定的上限,不应超过60小时,包括加班,紧急情况或异常情况除外。我们一直关注保障员工在高峰期加班。由于超时工作仍然是管理层的一个重要关注点,我们将继续监察超时工作的使用情况,并采取适当措施管理有关情况。
健康与安全
我们的义务是为所有员工和其他在我们场所工作的人提供安全和健康的工作条件。在我们所有的产品和工艺中,我们努力使ASML成为一个安全的工作场所。我们在ASML内部致力于提高意识并保持积极的安全文化。
更多内容请阅读:
社会—为所有人提供有吸引力的工作场所—确保员工安全。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 142 |
| 负责任的业务(续) | | | | |
| | |
我们认为,我们不仅有责任尊重人权,而且有责任在整个组织内倡导人权,以帮助对社会产生积极影响。 |
|
|
工作场所骚扰
我们是一家全球性公司,在16个国家和地区的60多个地点设有业务。我们拥有文化多元的劳动力,雇用143个国家。这导致工作场所骚扰问题的内在人权风险更高。
更多内容请阅读:
管理—负责任的企业—商业道德和行为准则。
通过我们的道德计划,我们提高了人们对道德行为重要性的认识,以及我们的直言不讳和不报复政策。它还提供了关于处理诸如工作中的个人关系、利益冲突、处理文化差异以及围绕辅助活动或ASML以外的其他职位的伦理方面的主题的信息和指导。
我们的供应链
我们通过基于风险的方法评估供应链中与人权有关的风险。在我们的尽职调查过程中,我们使用澳大利亚央行风险评估平台来识别我们整个供应基地的劳工(包括人权)、道德、健康和安全以及环境标准方面的内在风险。
如果发现与劳工相关的中等或高度风险,我们将与供应商接触并进行更详细的分析。对于占我们产品相关支出约80%的战略供应商,我们预计他们将完成每年的澳大利亚央行SAQ。这份SAQ涵盖了400多个与劳工(包括人权)、道德、环境和安全因素、控制因素和管理体系有关的风险因素,包括他们的表现。它帮助我们确定供应商在可持续性方面的风险概况。当我们确定合规差距时,我们与供应商接洽以确定纠正行动计划(S)。
我们确定的突出问题涉及工作条件(强迫劳动和抵押劳动)、健康和安全以及工会权利。然而,由于他们在高科技行业工作,我们的大多数供应商都在法治很强的国家运营,都是守法的。我们认为这种固有风险很低。
更多内容请阅读:
社会—我们的供应链。
信息安全
随着ASML的独特地位和半导体行业日益加剧的地缘政治紧张局势,我们看到安全风险趋势越来越大,从勒索软件和网络钓鱼攻击到试图获取知识产权或中断业务连续性。
2022年,ASML登记了约2800起网络安全事件,不包括网络钓鱼。我们不认为这些事件中的任何一件对我们的业务产生了实质性影响。见“风险因素--网络安全和其他安全事件,或我们流程或信息技术系统的其他中断,可能对我们的业务运营产生重大不利影响”。我们已经将FTE的数量从大约10年前的10个增加到2022年的约300个FTE,专门负责安全事务。
安全--就像安全和质量--是信任ASML品牌的先决条件。我们的客户和合作伙伴必须能够依赖我们产品和服务的安全性、安全性和质量。ASML的竞争优势是基于我们生态系统内几十年来发展起来的知识和知识产权。这些知识存在于公司的各种仓库中,也存在于我们的员工和我们在数百家供应商、客户和知识机构组成的协作生态系统中与之共事的许多人的脑海中。一方面,它使保护知识成为一项挑战,因为我们的生态系统在很大程度上是基于许多人之间的思想和见解的交流。另一方面,这也意味着很难复制我们所做的事情。如果没有(操作)软件,不同组件的电子学和行为知识,特定的知识
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 143 |
| 负责任的业务(续) | | | | |
ASML内部的个人和我们的合作伙伴对我们技术的不同元素进行了整合,如果没有我们生态系统中非常多样化和广泛的合作伙伴关系,就很难有效地制造像我们这样复杂的机器。
当我们与生态系统合作伙伴共同创新时,我们的合作伙伴需要访问我们的一些系统。因为链的强度取决于最弱的环节,所以我们需要确保以安全的方式启用这种访问。ASML的安全信任圈旨在认证和协助我们的生态系统合作伙伴提高其信息安全的成熟度,同时也促进ASML自身学习有效的技术和技术的发展。
信息安全弹性框架
我们对安全的愿景是,它需要嵌入我们员工、流程和技术的DNA中。为确保这一点,我们设立了专门的安全职能,以管理安全风险。首席信息安全干事协调应对信息安全风险的工作,作为第二条责任线,并得到各区安保小组的支持,作为第一条责任线。我们的使命是通过对人员、流程和技术采取基于风险的有效措施,使ASML能够控制公司的信息和资产以及客户和供应商的机密信息的保护,以支持我们的业务目标。为了实现这一愿景和使命,我们追求并部署我们的安全策略,以实现我们的安全能力的最高成熟度,并以基于风险的方式将其推广到我们的资产。
我们通过应用ISO 27001信息安全标准来开发我们的信息安全框架
通过推动安全成熟度—从策略设置、资产管理和访问控制到事件管理等等。对于每个领域,我们都制定了量身定制的控制措施,并定期进行评估,以确保合规性和有效性。此外,我们的事件报告工具旨在确保所有IT和信息安全问题都可以被报告、关联和调查。
人才和知识是ASML业务成功的关键。未经授权披露我们的信息,或我们创新生态系统中的客户或供应商的信息,可能会使竞争对手受益,对我们申请专利的能力产生负面影响,或对与客户、供应商和监管机构的合作产生负面影响。同时,我们的运营依赖于可靠的信息处理,未经授权更改这些资产的信息内容可能会损害我们开展业务的能力。因此,保证信息的机密性和完整性至关重要。为了确保员工了解安全政策并知道如何采取行动,我们提供强制性的安全培训,并在全年举办多个安全意识活动,在此期间,我们提供更多信息并分享经验。
在我们的供应链网络中,我们使用单一模型对合作伙伴进行风险评估,合作伙伴也使用该模型筛选供应商。我们还与同行、合作伙伴和一流的安全解决方案提供商保持密切联系,我们的安全解决方案通过渗透测试(道德黑客)定期进行测试,以识别可利用的问题,从而实施有效的安全控制。
鉴于网络和安全风险不断增加的趋势,以及地缘政治关注度不断提高,
就ASML而言,我们正持续检讨我们的风险控制框架是否足够,并继续实施额外的控制措施。然而,考虑到网络安全和其他安全风险的普遍性、复杂性和迅速上升,地缘政治对半导体行业的关注以及我们合作创新方法的固有局限性,这可能并不总是足以防止事故发生并完全降低风险。因此,需要坚持不懈地采取最新的最佳做法。
更多内容请阅读:
风险—我们如何管理风险。
| | | | | | | | | | | | | | |
| | | | |
| 建立安全信任圈 | | | |
| 在ASML,我们与公司内外的合作伙伴密切合作,在基于信任的创新生态系统中开发技术。在互联生态系统中进行创新和协作需要超越企业边界的安全信息共享,因为网络攻击的脆弱性已经扩展到整个生态系统的周边。 因此,ASML于2021年启动了安全信任圈计划,以保护我们在Brainport Eindhoven地区和荷兰的创新生态系统。“信任圈”是一个由同行和供应商组成的网络,他们共同接受相同的信息安全标准,并根据这些标准提高他们的性能。该网络还推动ASML、供应商和生态系统合作伙伴之间的知识和最佳实践交流。 | | 我们分享最佳实践,以帮助我们的创新合作伙伴开发和加强安全成熟度。目标是保护知识产权,保护行业和该地区免受勒索软件等网络犯罪,共享相关威胁情报,就安全主题进行合作,并共同变得更加安全。我们每年与十大主要供应商和50多家邻近公司举办大师班,以提高该地区的信息安全意识和知识,并分享实用技巧、技巧和策略,例如打击勒索软件。2022年,我们扩大了信任圈,将美国、欧洲和台湾的半导体公司也包括在内,并计划于2023年进一步推广至其他地区。 | |
| | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 144 |
| 负责任的业务(续) | | | | |
知识产权保护
我们的公司是以人和知识为基础的。我们的专业知识使我们领先于竞争对手。为了维持业务,关键是保护我们自己的知识以及客户和业务合作伙伴委托给ASML的信息。专利是保护ASML的研发投资不被我们的第三方使用的一种方式,包括被我们的竞争对手、客户、供应商和合作开发商利用。我们与由许多不同的公司和机构组成的生态系统合作伙伴一起创新和开发我们的技术,每个公司和机构都需要专门的方式处理知识产权问题。
ASML的一般知识产权战略有三个目标:
–通过保护ASML的发明,建立和维护坚实的知识产权组合。
–防止ASML侵犯第三方知识产权的情况。
–根据ASML的知识保护计划,防止机密信息(包括专有技术和商业秘密)泄露给外界。
我们的企业知识产权部门的任务是加强我们的全球知识产权地位,包括我们的专利组合,以及保护我们的专利。该部门的使命是最大限度地提高ASML的知识产权价值,执行和支持ASML的总体目标,并维护ASML的运营自由。为保障我们的技术领先地位和我们在尖端技术方面的研发,该部门参与了产品的生产过程,并评估新产品,以确定它们是否可能
侵犯第三方的任何相关第三方知识产权。
我们在复杂的研究和开发方面的巨大投资证明了强大的知识产权组合是合理的。我们已建立知识产权管理机制,以保障我们的知识产权,并尊重其他各方的知识产权。其中包括专门的知识保护计划、限制访问工程最高机密、信息安全计划、强制性信息分类以及培训和意识计划。
我们采取了控制措施、政策和程序,以保护我们的商业秘密、专有客户数据和其他信息,并使我们遵守出口管制、经济制裁和类似法规。这些控制和程序可能并不总是有效的,我们曾经历过未经授权访问数据的情况,导致前雇员盗用信息,这可能构成违反该等法规的情况(请参阅风险因素“网络安全和其他安全事件,或我们流程或信息技术系统的其他中断,可能对我们的业务运营造成重大不利影响”)。我们已采取补救措施,以防止类似未经授权的访问,我们正在检讨我们的安全控制、政策和程序,以确定任何进一步的更改是否适当。
更多内容请阅读:
治理—负责任的企业—信息安全。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 145 |
| 负责任的业务(续) | | | | |
产品安全
我们希望创新,但始终把安全放在首位。我们有责任随时提供安全的工作环境。我们通过在产品生命周期的每个阶段关注安全性来做到这一点:研究、开发、生产、运输、安装、维护、升级和退役。我们确保覆盖所有利益相关者群体,包括员工、客户、供应商、承包商和访客。
我们如何管理产品安全
随着我们的发展,我们的产品复杂性和我们运营的地理位置也在增加。因此,评估哪些安全法规和法规适用于我们的产品和工具变得越来越复杂。与此同时,确定我们需要遵守的规则和程序,以证明这种遵守也更为复杂。我们的一些技术是如此的创新和新,以至于我们并不总是立即清楚适用于哪种监管制度。ASML正在通过聘请国家安全监管专家来扩大专业知识。
我们有明确的系统和流程,以支持我们的产品安全方针。我们的全球产品安全和监管组织是质量与卓越的一部分,负责协调ASML内部的整体产品安全方法。为了支持ASML产品,每个产品线都有安全工程师负责产品并进行一级系统风险评估。为支持安全设计,我们定义并实施了12个关键风险领域,并由风险专家支持各个项目。
| | | | | | | | |
产品安全能力 | | |
就我们的所有能力而言,我们的D & E安全能力主管的作用是提供有关我们工作方式的全面知识,并为我们所有能力中的特定安全隐患设计规则。 电气:使电气设计安全,保护人们免受电击。这涉及到使携带危险电压的导体无法接触,确保可接触导体不携带危险电压,并确保通过遵守相应的规章和标准,使不可接触导体与可接触导体充分绝缘。 压力:解释和解释当地法规和标准,并就测试和文档提供建议,并维护某些国家的高压许可证所需的制造记录簿。 人因工程学(包括人体工程学):采用以人为中心的设计方法,通过制定可达性、姿势、力和部件提升等问题的规则,帮助项目保持维护和维修的可达性。 机械:跟踪安全因素以及我们机器的抗震要求。 起重:许多特殊要求(如起重机操作员的认证和培训)适用于我们使用起重工具的国家。我们的团队可以在需要认证时提供建议。例如,在韩国,500公斤或以上的重量需要认证。 | | 高空作业:这是一个新的专业领域,在我们的EXE:5000的设计过程中,我们的首个EUV 0.55NA(高NA)系统,以确保良好的访问各个区域。 辐射:主要关注强度超过标准的激光。此外,我们还考虑了我们使用的标准和特殊灯具和LED的影响。 危险品:防止因运输和进口某些危险物质(如化学品、磁铁和电池)而停止运输。 程序安全:支持为高度复杂的操作制定书面安全程序。 热:在高温下使用锡需要特别的预防措施来保护人们。 危险气体:气体的使用需要安全系统和程序来保护机器和人员。例如,氮气是一种窒息危险,在EUV中使用氢气有额外的适用立法和标准。 材料和物质:监测全球法律,检查我们产品上使用的所有材料的法律地位,并确保我们不会在产品中使用或引入有害材料。 |
产品设计安全
我们致力于确保我们开发的所有产品和工具符合世界上最严格的产品安全法规,以及适用于我们业务所在国家的法律。我们专注于硬件设计的安全性,其次是程序安全—预防是关键。
安全的产品始于经过深思熟虑的设计,并在最初设计之初就实施了产品安全要求。人类安全设计的第一步是通过产品设计消除风险或保护人类。由于人为因素在产品的安全操作中起着重要的作用,我们尽量防止这些因素成为风险因素。这有助于防止工作场所的活动演变成潜在的事故。如果没有安全预防措施来解决潜在危险,我们会自行开发。
当我们开始设计系统时,我们的工程师会进行初始安全风险评估(SRA)。我们的产品设计师接受过培训,能够在设计过程的早期阶段识别任何安全问题。SRA在整个产品开发过程中进行评价。我们在产品生命周期的每个后续阶段评估产品安全性,并通过事件报告系统跟踪任何已报告的产品相关事件(包括供应链事件)。我们自豪地报告,于二零二二年,我们的设备没有造成可记录的事故。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 146 |
| 负责任的业务(续) | | | | |
EUV 0.55NA(高NA)安全性研究进展
EUV 0.55 NA(High—NA)是我们EUV路线图上的最新ASML产品,被公认为下一代EUV机器。由于其尺寸、模块重量和可访问性,该系统的开发对产品安全提出了新的挑战。为了支持设计,我们特别注重人体工程学和高空作业。
例如,我们的人体工程学专家使用3D模拟,使人们能够练习各种动作。
此外,新系统还内置了服务平台和平台,从而实现了新的“高空作业”安全能力。
由于系统的复杂性,欧盟安全指令和半导体行业指南(SEMI S2)审查被分开,首先是设计审查,然后是硬件的第二次检查。2022年,我们开始进行SEMI S2第三方安全设计审查。
在组织中嵌入产品安全
2021年,我们成立了安全和监管办公室,负责跟踪新的立法和标准,并确保我们的产品符合产品安全规则和法规。监管委员会负责就ASML产品安全合规性以及消除不合规性的战略做出决策。它还监控合规状态并推动风险缓解。监管委员会在每月的会议上讨论可能的违规案例,并根据提交的缓解计划做出决定.
确保安全合规
我们开发的产品和工具符合SEMI S2,以确保在任何时候都考虑到产品安全。这些指南包含在安全系统性能规范(安全SPS)中。我们出货的每一种产品都符合半S2标准。2022年,一份报告确认了我们发货的每一种产品都符合这一要求。我们还拥有所有ASML产品和工具的CE(符合欧洲标准)符合性声明。
提高我们供应链中的产品安全性
确保产品安全不仅限于我们的设施,我们还关注供应链中的产品安全。我们很大一部分的创新和开发都是在我们的供应商现场进行的。安全是ASML的关键优先事项,我们希望确保我们运输的所有产品都符合最严格的法律,包括我们价值链中供应商制造和供应的设计。我们的目标是确保我们的供应商有能力提供安全合规的产品,这样我们就可以避免安全事故或事件、与安全相关的不合规问题或延迟发货。
我们与供应商密切合作,定义了端到端流程,确保交付符合我们的安全要求。
危险品管理
随着我们的危险品计划的成功完成,危险品管理从结构上嵌入了我们整个组织。政策、流程、指导方针和信息技术基础设施已经到位,使专门的专家能够作为我们能力小组的一部分来管理危险物品。在设计过程的早期阶段就确定了危险属性,以便我们能够采取措施,确保我们的产品按时和更高效地安全搬运、运输和储存。由于这些活动由安全和合规组织监督,我们能够保障对影响ASML产品的法规和立法的积极控制。
材料和物质合规性
我们致力于遵守我们运营的市场的法律和法规。我们遵循最严格或最领先的法规,目前但不限于欧盟的RoHS(危险物质限制)、REACH(化学品的注册、评估、授权和限制)和电池指令,韩国的K-REACH(化学品注册和评估法案)或美国的TSCA(有毒物质控制法案)。
我们实施了多项举措来克服合规挑战,原因包括:监管环境的变化越来越多;我们产品中使用的独特部件的数量(>50,000);广泛的全球供应链;我们使用的受管制物质的数量(>100)。2022年期间的活动包括:
–这是一个将流程嵌入整个组织的多学科计划--改进我们的IT解决方案,实现自动化供应链沟通,并提供灵活的报告功能。
–以全球安全为重点,加强我们与新的当地安全专家团队的沟通,并建立一个监管情报团队。
–积极应对即将出台的法规,如PFAS、TSCA和电池指令,加入半导体PFAS联盟,与我们的业务合作伙伴和供应链合作,并与一家备受尊敬的咨询公司建立工作关系。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 147 |
| 我们的征税方法 | | | | |
| ASML致力于通过社会经济凝聚力、可持续增长和长期繁荣,帮助建设一个更公平、更可持续的社会。税收是实现这一目标的一种手段。 | | | | |
| | | | | | | | | | | | | | |
| | | | |
| 我们认为,我们缴纳的税款是对我们所在社区的贡献,是我们创造社会价值的责任的组成部分。在我们的业务和ESG战略的支持下,我们如何运作和我们的税收方法的公开和透明对我们来说很重要。 | |
| | | | |
| 17亿欧元 | | 15.0% | |
| 2022年缴纳的所得税 (2021年为12亿欧元) | | 2022年有效税率 (2021年为15.2%) | |
| | | | |
| | |
| | | | |
| 阅读更多内容: | |
| Www.asml.com上的《税务报告方法》 | |
| | | | |
| | | | | |
1.荷兰 | €757m |
2.美国 | €474m |
3.台湾 | €209m |
4.韩国 | €167m |
5.中国 | €42m |
去年,我们已经在努力提高税务透明度方面迈出了重要的一步,分享了我们的税收原则,并披露了五个主要国家在商业和税收足迹方面的信息。
今年,我们又向前迈进了一步,并作出了几项改进。我们签署了VNO—NCW税务治理守则。该《税务治理守则》应能提高荷兰上市公司税务状况的透明度。根据本准则,我们在所有设立ASML的国家的税务报告中均包含了各国的税务信息。我们还解释了在我们五个主要国家的活动,并简要说明了我们各实体的活动类型和地理范围。
我们会不断提高税务方面的透明度。ASML签署VNO—NCW税务治理守则的举动反映了这一点,也回应了企业应对政策制定者、非政府组织和公众不断变化的期望的呼吁。
我们的主要原则是我们的税务状况反映我们的业务营运,即销售光刻系统及相关产品及服务,并由我们的制造及研发活动支持。自公司成立以来,ASML一直有一个简单的运营模式,我们位于荷兰Veldhoven的校园是我们全球运营的核心。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 治理续 | 战略报告 | 治理 | 金融类股 | 148 |
| 我们的征税方法(续) | | | | |
以下原则指导我们如何在经营所在国家申报和纳税。
管理委员会负责ASML的税务策略、税务原则和整体税务风险管理,并随后由审计委员会审查。ASML税务和海关部门负责执行管理委员会制定的ASML税务战略。
ASML的税务策略基于我们的税务原则,并与我们的业务策略和我们的可持续发展目标紧密一致。税务策略由管理委员会批准。税务策略、税务原则及整体税务风险管理适用于所有集团实体。
| | |
|
|
我们的税务策略是 与我们的业务战略紧密配合, 我们的可持续发展目标”。 |
加布·贝斯 |
税务及海关主管 |
| | | | | | | | |
| 我们的税收原则 | |
| 合规性 | |
| | |
| –我们按照税收法律法规的文字、意图和精神行事。 –我们根据报告要求、美国公认会计原则和国际财务报告准则进行税务披露。 –ASML的利润分配方法是基于经合组织发布的国际公认标准。我们根据我们运营的当地司法管辖区的相关规章制度,在我们的业务中始终如一地应用这些规定。 | |
| | |
| 支持税收制度 | |
| | |
| –我们在一个司法管辖区报告的应纳税所得额与该司法管辖区内商业活动的附加值相称。 –我们不会利用所谓的避税天堂(由欧盟委员会的“黑名单”定义)来避税。 | |
| | |
| 与当局的关系 | |
| | |
| –我们在相互尊重、透明和信任的基础上,寻求与我们所在司法管辖区的税务机关和其他相关当局进行公开和建设性的对话,披露所有相关的事实和情况。我们不使用旨在避税的税收结构,也不会人为地将利润转移到低税收司法管辖区。 | |
| | |
| | | | | | | | | | | |
| 我们的税务策略 | |
| | | |
| 1 | 利益相关者管理 | |
| | | |
| 对外,与税务机关和监管机构沟通,也要与投资者沟通。在内部,支持我们的业务管理风险,控制风险,同时保持其行政程序和工作方式的效率。我们以一种综合的方式与ASML内的其他专家合作。 | |
| 2 | 税收的未来 | |
| | | |
| 这包括ESG(包括税务透明度)和税务技术的发展,我们密切关注外部世界的发展,并不断将这些转化为ASML的潜在要求或影响。 | |
| 3 | 合规与控制 | |
| | | |
| 这包括为适当的税务风险管理和报告目的制定、实施和持续监测流程和控制。此外,这包括确保根据适用的税收法律和法规(包括及时缴纳应缴税款)。 | |
| 4 | 税务和海关机构 | |
| | | |
| 在一个瞬息万变的世界里,重要的是拥有一个多元化的团队,他们能够应对变化,而不仅仅是优秀的税务和海关专家。沟通、数字和项目管理技能正变得越来越重要。我们努力按照ASML的价值观(挑战、合作和关怀)共同努力,相互发展。 | |
| 5 | 项目 | |
| | | |
| 我们的业务和运营所处的监管环境不断变化。我们致力于处理这些变化的项目,以确保实施的解决方案是合规和高效的。同样,我们继续努力简化和审查现有的商业模式,以确保我们继续遵守税收和海关规定。 | |
| | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 小图案。巨大的影响。 | 战略报告 | 治理 | 金融类股 | 149 |
| | | | | | | | | | | |
| | | |
| | | |
| | 可穿戴技术 | |
| | 突破性的技术,改变生活的成果 | |
| | 半导体对于一系列新的可穿戴设备至关重要,这些设备有可能改变医疗保健,特别是对老年人来说。从智能手表到跌倒检测服务,纳米传感器可以监测患者的健康状况并提醒护理人员—与人工智能结合,它们甚至可以预测心脏病和癌症等疾病。 | |
| | 在线阅读更多 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理 | 战略报告 | 治理 | 金融类股 | 150 |
| 公司治理一览表 | | | | |
| 我们提倡综合企业管治,与持份者建立信任、尊重及互惠互利的关系。 | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
概述
| | | 公司 治理声明 | | | 阅读更多关于第151页 | | | | 监事会报告 | 阅读更多关于第168页 | | | | 薪酬报告 | 阅读更多关于第186页 | |
本网页概述及简介本年报企业管治一节。 | | | 在我们的企业治理声明中,我们报告了ASML的企业治理结构以及ASML如何应用荷兰企业治理准则的原则和最佳实践。 | | –治理结构 –管理委员会 –监事会 –董事会相关事宜 –股东周年大会及股本 –财务报告和审计 –遵守治理要求 | | | | 本报告概述了监事会及其委员会的活动,以及2022年的主要重点领域,包括利益相关者参与、与人员和我们的供应链相关的问题,以及ESG日益增长的重要性。 | | | | 在此,我们解释年内就我们对公平均衡薪酬的承诺所取得的进展,包括我们就如何奖励管理层以吸引合适人才而提高透明度所做的工作。 | |
| | | | | | | –主席致辞 –监事会 –2022年董事会重点 –会议和出席情况 –作文、培训和评估 –监事会委员会 –审计委员会 –技术委员会 –推选及提名委员会 | | | | –主席致辞 –薪酬委员会 –管理委员会的薪酬 –监事会薪酬 | |
| | | | | | | | | | | | | | | | | |
| | | 我们的战略 | | | 请阅读第31页的更多内容 | | | | 来自主席的信息 我们的监事会 | 有关更多信息,请参阅第168页 | | | | 联合国大会主席的致辞 薪酬委员会 | 阅读第186页的更多内容 | |
| | | | | | | | | | | | | | | | | |
| | | 我们的商业模式 | | | 有关更多信息,请参阅第33页 | | | | | | | | | | | |
| | | 我们的利益相关者 | | | 有关更多信息,请参阅第37页 | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理 | 战略报告 | 治理 | 金融类股 | 151 |
| 公司治理 | | | | |
我们赞同良好的公司管治的重要性,其中独立性、问责性和透明度是最重要的元素。这些也是我们与利益攸关方之间建立信任关系的基础。
ASML Holding N.V.是一家根据荷兰法律运营的上市有限责任公司。阿斯麦的股票在泛欧交易所、阿姆斯特丹和纳斯达克上市。
我们有一个两级董事会结构,包括一个负责管理公司的管理委员会和一个独立的监督委员会,负责监督管理委员会并向其提供建议。就履行其职责而言,两个董事会均向股东大会负责,股东大会是代表我们股东的法人团体。
我们的治理结构基于ASML的公司章程、荷兰公司法和证券法以及《荷兰公司治理守则》。由于我们在纳斯达克上市,因此我们还必须遵守萨班斯-奥克斯利法案、纳斯达克上市规则和美国证券交易委员会颁布的规则和法规的适用条款。
我们须遵守适用于大型公司的荷兰法律的有关规定(结构性政体)。这些规定的效果是将对某些公司决策和交易的控制权集中在监事会手中。管理委员会成员和监事会成员的任免程序以结构性政体.
本年度报告的这一部分介绍了我们的公司治理结构以及ASML应用《荷兰公司治理准则》的原则和最佳实践的方式。它还提供了通过与管理报告内容有关的进一步规则的法令和执行《接管指令》第10条的法令所要求的资料。
我们签署了VNO-NCW税收治理守则,并在我们网站上的我们的税收方法部分和我们更全面的税收报告方法部分报告了其原则的应用。
根据荷兰公司治理守则(https://www.mccg.nl/english),),本年度报告的其他部分阐述了我们旨在创造长期价值的战略和文化、我们的价值观和行为准则,以及我们内部控制和风险管理系统的主要特点。
2022年2月,荷兰公司治理准则监测委员会启动了一项咨询进程,导致对《荷兰公司治理准则》的修订。修订后的《荷兰公司治理守则》于2022年12月20日发布,出于报告目的,适用于2023年1月1日或之后的财政年度。作为我们监事会和管理委员会确保我们的做法和程序符合荷兰公司治理要求的持续努力的一部分,我们目前正在评估修订后的准则对我们公司治理结构的影响。
更多内容请阅读:
我们公司,
我们的业务和ESG战略,
我们的商业模式和
风险--我们如何管理风险。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| ASML公司治理结构 | |
| | | | | | | | | | | | | | | |
| | | | | 股东 | | | | | |
| | | | | | | | | | | | | | | |
| | | | | 监事会 | | | | | |
| | | | | | | | | | | | | | | |
| | | | | 管理委员会 | | | | | |
| | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | |
| | 业务 部门 | | 业务 功能 | | | 公司 功能 | | 员工 支持 | | |
| | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 152 |
| 管理委员会 | | | | |
我们的管理委员会负责管理ASML。其职责包括确立ASML及其业务长期价值创造相关性的立场,定义和部署ASML的战略,建立和维护有效的风险管理和控制系统,管理ASML的运营和财务目标的实现以及与ASML相关的企业社会责任方面。在履行其管理任务和职责时,管理委员会以ASML及其业务的利益为指导,并考虑持份者的利益。
目前的管理委员会由五名成员组成。2022年10月19日,监事会宣布打算根据2023年股东大会将管理委员会扩大至6名成员,并增加首席战略采购和采购职能作为管理委员会的职位,因为该职能对ASML的战略战略重要性不断增加。
管理委员会有双重领导架构,主席为总裁兼首席执行官,副主席为总裁兼首席技术官。管理委员会在其成员之间分配任务,由个别成员承担具体的管理任务。然而,管理委员会仍然集体负责ASML的管理。
管理委员会由监事会监督和提供咨询意见。管理委员会以书面或其他方式向监事会提供监事会适当履行职责所需的一切信息。除了在定期会议上提供的信息外,管理委员会还定期向监事会提供与我们的业务、财务、运营和行业发展有关的最新情况。管理委员会的某些重要决定需要监事会的批准。有关详情,请参阅本公司治理章节的监事会部分。
关于管理委员会的一般职责、管理委员会与监事会和各利益攸关方的关系、管理委员会内部的决策过程以及会议的后勤工作的进一步资料,见管理委员会议事规则。这些建议已在我们网站的治理部分公布。
委任
管理委员会成员由 监事会根据遴选委员会的建议及提名委员会,并于通知 股东大会管理委员会成员任期四年。可连任四年。65岁或以上的人士,最长任期为两年,可连任, 连续两年任期。
根据荷兰法律,管理委员会的所有成员在任期内均通过管理服务协议聘用。
ASML与管理委员会成员之间的管理服务协议载有关于遣散费的具体规定。如果ASML终止协议的原因并非完全或主要是管理委员会成员的作为或不作为,则将支付不超过一年基薪的遣散费。此外,现行协议规定,管理委员会成员在因控制权变更而发出解雇通知时,有权获得离职金。鉴于该辞职与控制权变动有具体关联,ASML不认为该规定偏离荷兰公司治理守则。
监事会可以暂停和解雇管理委员会成员,但必须在征求股东大会的意见后方可进行。
有关2022年管理委员会相关变动的更多信息,请参阅
监事会报告包含在本年度报告中。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 153 |
| 管理委员会(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | 马丁·范·登·布林克 (1957,荷兰) | | | | | | | | | 克里斯托夫·D·福凯 (1973年,法语) | | | |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | |
| | | | 首席技术官总裁 高级职员兼董事会副主席 管理学的 任期到2024年 | | | | | | | 总裁常务副总经理 和首席商务官 任期到2026年 | | |
| | | | | | | | | | | | |
| | | | | | | | | | 2018年,克里斯托夫·福奎被任命为执行副总裁总裁,并担任EUV管理委员会成员。2022年,克里斯托夫被任命为执行副总裁总裁兼首席商务官。自2008年加入ASML以来,他曾担任过多个职位,包括董事高级营销总监总裁产品经理和总裁应用副总裁(他于2013年至2018年担任该职位)。在加入ASML之前,他曾在半导体设备同行KLA-Tencent和应用材料公司工作。克里斯托夫拥有格勒诺布尔理工学院的物理学硕士学位。 | | |
| | | | | | | | | | | | |
| | | | 马丁·范·登·布林克自2013年以来一直担任阿斯麦的总裁兼首席技术官。1984年ASML成立时,他加入了ASML,在接下来的11年里,他在工程领域担任过各种职位。1995年任总裁科技副总裁,1999年任总裁产品技术常务副董事长兼管理委员会成员。Martin拥有汉城大学HTS Arnem的电气工程学位,以及Twente大学的物理学学位(1984)。2012年,阿姆斯特丹大学授予他物理学荣誉博士学位。 | | | | | | | | |
| | | | | | | 罗杰·J.M.达森 (1965年,荷兰) | | | | | |
| | | | | | | | | | | | | |
| | | | | | | 总裁常务副总经理 和首席财务官 任期到2026年 | | | | | |
| | | | | | | | | | | | | | | | | | | | |
彼得·T.F.M.温宁克 (1957,荷兰) | | | 彼得在2021年12月31日之前一直是总部基地Stichting Pensioenfonds投资委员会顾问委员会的成员。他是FME-CWM董事会的副主席。彼得也是埃因霍温地区工业领袖委员会的成员,也是埃因霍温工业大学法布里坎特环和监事会的主席。此外,Peter还是Topconortium Voor‘Kennis en Innovatie’TKI HTS&M的理事会成员,荷兰国家增长基金咨询委员会成员和Startup Delta影响力圈成员。 | | | | | | | | | 罗杰·达森于2018年6月加入ASML,同年在年度股东大会上被任命为执行副总裁总裁和首席财务官兼管理委员会成员。他之前曾担任德勤会计师事务所全球副主席兼执行董事会成员,曾任德勤控股有限公司首席执行官。罗杰拥有马斯特里赫特大学经济学和工商管理硕士学位、审计硕士学位和工商管理博士学位。他是阿姆斯特丹Vrije University审计教授,也是荷兰国家银行监事会成员。他也是马斯特里赫特大学医学中心+的监事会主席,并在Stichting Brainport的董事会任职。 | | | | 弗雷德里克·J·M·施耐德-毛努里(1961年,法国) | | | |
| | | | | | | | | | | | | | | | |
总裁,首席执行官兼管理委员会主席 任期到2024年 | | | | | | | | | | | | | 总裁常务副总经理 和首席运营官 任期到2026年 | | |
| | | | | | | | | | | | | | | | |
Peter Wennink于2013年成为总裁兼首席执行官,自1999年以来一直担任执行副总裁、首席财务官和管理委员会成员。Peter曾是德勤会计师事务所的合伙人,专注于半导体行业。彼拥有丰富的金融背景,为荷兰注册会计师协会会员。 | | | | | | | | | | | | | Frédéric Schneider—Maunoury自2009年加入ASML以来一直担任执行副总裁兼首席运营官。彼于二零一零年获委任为管理委员会成员。在加入ASML之前,Frédéric曾担任发电和铁路运输设备集团阿尔斯通的热产品制造副总裁,此前曾担任阿尔斯通全球水电业务总经理。在加入阿尔斯通之前,弗雷德里克曾在法国贸易和工业部担任多个职务。他毕业于巴黎理工学院(1985年)和高等矿业学院(1988年)。 | | |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 154 |
| 监事会 | | | | |
我们的监事会监督管理委员会和ASML及其子公司的一般事务过程。监事会还向管理委员会提供咨询意见。监事会在履行其职责和职责时,考虑到ASML及其业务的利益以及其利益相关者的相关利益。
在我们的两级结构中,监事会是一个独立于管理委员会和ASML的机构。监事会成员除作为监事会成员外,概无监事会成员与ASML个人保持业务关系。
监事会目前由9名成员组成,最少为3名。
监事会在履行其任务时,主要关注ASML旨在创造长期价值的公司战略及其执行、管理委员会的人员配置和继任规划、ASML业务活动固有风险的管理、财务报告流程、遵守适用法律和法规,ASML的文化和管理委员会在这方面的活动,与股东和其他利益相关者的关系,以及对ASML重要的企业社会责任问题。
重要的管理决定,如确定业务和财务目标、旨在实现这些目标的战略、重大投资、预算以及股票的发行、回购和注销等,均需获得监事会的批准。
监事会受其议事规则的管辖。本规则涵盖的事项包括监事会及其委员会的职责、监事会及其委员会的组成、会议的后勤安排、监事会成员的出席情况、监事会成员的轮换安排和委员会章程。监事会的议事规则和委员会章程定期审查,必要时予以修订。审计委员会章程每年进行审查,以确认章程仍然符合适用的规则和条例,特别是与萨班斯—奥克斯利法案有关的规则和条例。
有关2022年监事会会议和活动的更多信息,请参阅:
监事会报告—会议和出席情况。
委任
监事会成员由股东大会根据监事会提出的具有约束力的提名任命。在提名(再)任命人选时,监事会检查候选人是否符合监事会的要求。该简介可在我们网站的治理部分查阅。股东大会可以否决
监事会以绝对多数票通过的决议的方式作出具有约束力的提名,至少代表ASML已发行股本的三分之一。如果该决议案的赞成票不占全部已发行股本的三分之一,则可以召开新的股东大会,在该大会上,提名可以被绝对多数否决。
监事会一般在实际轮换退休前一年的股东周年大会上通知股东大会和劳资理事会即将轮换退休的情况。这确保了他们有足够的机会为即将到来的空缺推荐候选人。监事会有权拒绝提出的建议。此外,劳资委员会有更大的权利为监督委员会三分之一的成员提出建议。这一增强的推荐权意味着,监事会只能在有限的情况下拒绝劳资委员会的建议:(一)有关人员不合适,或(二)如果被推荐的人被任命为监事会成员,监事会将无法适当组成。
监事会成员的任期最长为四年,或根据监事会的轮换时间表缩短。监事会成员有资格连任,任期最长为四年。此后,委员可再次任命,任期最长为两年。这一任命最后可延长,最后任期不得超过两年。轮值时间表载于我们网站的管治部分。
如果股东大会对监事会失去信任,可以以代表总流通资本三分之一以上的绝对多数票撤销对监事会的信任。该决议将导致整个监事会立即被解散。在这种情况下,阿姆斯特丹上诉法院企业商会应根据管理委员会的请求任命一名或多名监事。
有关2022年和2023年监事会组成变化的更多信息,请参阅
监事会报告。
监事会委员会
监事会在保留总体责任的同时,将部分任务和职责分配给四个委员会:审计委员会、薪酬委员会、遴选和提名委员会和技术委员会。
有关监事会委员会的进一步信息,请参阅
监事会报告和委员会章程,见我们网站。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 155 |
| 监事会(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | 安托瓦内特(Annet)P.Aris (1958,荷兰) | | | | | | | | | | | | | |
| | | | | | | | | | | | | |
| | | | | | | | | | | | |
| | | | 监事会成员 董事会自2015年以来 (第三届任期将于2024年届满) | | | | | | | |
| | | | | | | | | | | | |
| | | | 监事会副主席 董事会自2021年以来,成员 薪酬委员会、技术委员会 遴选和提名委员会 | | | | | | | |
| | | | | | | | | | | | | | |
| | | | Annet Aris自2015年以来一直担任监事会成员。她是法国工商管理学院战略高级副教授,自2003年以来一直担任该职位。1994年至2003年,她是德国麦肯锡公司的合伙人,直到2022年12月,她是Cooperatieve Rabobank UA的监事会成员。她还担任Jungheinrich AG和Randstad Holding NV的监事会成员。 | | | | 亚历山大·F·M·埃弗克 (1963年,德语) | | | | |
| | | | | | | | | | | | |
| | | | | | | 自2022年起担任监事会成员 (第一届任期将于2026年届满) | | | | |
| | | | | | | | | | | | | | | | | | | | |
Gerard J. Kleisterlee (1946(荷兰语) | | | Gerard Kleisterlee于2015年加入监事会,自2016年起担任监事会主席。他于2001年至2011年担任Royal Philips NV管理委员会总裁兼首席执行官,自1974年以来一直在该公司工作。他还曾于2006年至2012年担任荷兰中央银行监事会成员,2009年至2014年担任戴姆勒股份公司非执行董事,2010年至2013年担任戴尔非执行董事。2011年至2022年,Gerard担任沃达丰集团董事会主席。自二零一零年至二零二零年五月,彼为Royal Dutch Shell Plc的非执行董事。目前,Gerard是IBEX Limited的独立董事会成员。 | | | | | | |
| 成员 薪酬委员会 | | | | D.马克·杜尔坎 (1961(美国) | | | Mark Durcan先生于二零二零年获委任为监事会成员。2012年至2017年,他担任美光科技公司首席执行官,彼于1984年加入公司,并在被任命为首席执行官之前担任多个管理职位。此外,Mark曾担任飞思卡尔半导体、MWI兽医供应和Veoneer,Inc.的董事。Mark是Advanced Micro Devices公司的非执行董事,他是美国资源卑尔根公司董事会成员,赖斯大学(得克萨斯州)董事会成员,圣卢克卫生系统(爱达荷州)主任和自然智能系统CA私人人工智能,创业公司董事。 |
| | | | | | | | | | | | | | | | | | |
监事会成员 董事会自2015年 (第二任期于2023年届满) | | | | | | | | | Alexander Everke于2022年加入监事会。他于2015年10月加入ams AG后,自2016年3月起担任ams—OSRAM AG的首席执行官。在此之前,Everke先生曾在半导体行业担任多个职位,包括西门子和英飞凌的管理职位,以及恩智浦半导体的多个领导职位。 | | | | 监事会成员 董事会自2020年以来 (第一届任期将于2024年届满) | | |
| | | | | | | | | | | | | | |
| | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
监事会主席, 甄选和提名委员会主席和技术委员会成员 | | | | | | | | | | | | | 技术委员会主席、遴选和提名委员会成员 | | |
| | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 156 |
| 监事会(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
罗尔夫—迪特尔·施瓦布 (1952(德语) | | | | | | | | | 比尔吉特·M·康尼克斯 (1965年,比利时人) | | | | 特里·L·凯利 (1961(美国) | | | 特里·凯利自2018年以来一直是监事会成员。在此之前,她于2005年至2018年担任W.L.Gore&Associates首席执行官兼总裁,自1983年以来一直在Gore担任各种管理职务。她还在戈尔的董事会任职至2018年7月。特里是阿尔弗雷德·I·杜邦慈善信托基金的受托人,该信托基金负责监督内穆尔基金会。她是特拉华大学董事会主席,也是联合租赁公司董事会成员。 | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | |
监事会成员 董事会自2015年 (第二任期于2023年届满) | | | | | | | | 监事会成员 董事会自2021年以来 (第一届任期将于2025年届满) | | | | 监事会成员 董事会自2018年以来 (第二届任期将于2026年届满) | | | | | | |
| | | | | | | | | | | | | | | | | | | | | |
审计委员会主席 和薪酬委员会成员 | | | | | | | | 审计委员会委员 | | | | 薪酬委员会主席、遴选和提名委员会委员 | | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | | | 比尔吉特·科尼克斯于2021年成为监事会成员。自2021年6月以来,Birgit一直担任Sonova Holding AG的首席财务官和管理委员会成员。从2018年到2021年1月1日,比尔吉特是途易股份公司执行董事会成员兼首席财务官。在此之前,她是比利时媒体、有线电视和电信公司Telenet Group N.V.的首席财务官。在此之前,她曾在强生、喜力、田纳科和里德·埃尔塞维尔担任过各种财务管理职位。
| | | | | | | | | |
| | | | | | | | | | | | | | | | | | | |
罗尔夫-迪特尔·施瓦布自2015年以来一直是监事会成员。2006年至2014年,他担任皇家帝斯曼公司的首席财务官和管理委员会成员。在此之前,他是拜尔斯多夫股份公司的首席财务官和执行董事会成员。他还在拜尔斯多夫股份公司和宝洁担任过财务、IT和内部审计方面的各种管理职位。 | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | 安湖斯蒂根 (1971(比利时) |
| | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | 监事会成员 董事会自2022年起 (第一届任期将于2026年届满) |
| | | | | | | | | | | | | | | | | | | | |
| | | | | D.沃伦A.东 (1961(英) | | | | | | | | | | | | | | 技术委员会成员 |
| | | | | | | | | | | | | | | | | | |
| | | | 监事会成员 董事会自2020年以来 (第一届任期将于2024年届满) | | | | | | | | | | | | | 安·斯蒂根先生于2022年加入监事会。她是Barco N.V.的联席首席执行官和董事会成员,她自2021年10月以来一直担任该职位。在此之前,安先生曾担任IBM半导体公司的研发总监和比利时研究机构imec的执行副总裁。此外,安先生曾担任Umicore电子和电光材料的首席技术官和执行副总裁。 |
| | | | | | | | | | | | | | | | | |
| | | | 审计委员会委员 | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | |
| | | | Warren East于2020年成为监事会成员。Warren于2015年至2022年12月担任Rolls—Royce Group Plc首席执行官。1985年至1994年,他在德州仪器有限公司度过了他的早期职业生涯。他随后加入ARM Holdings,Plc,担任多个管理职位,并于2001年至2013年被任命为首席执行官。 | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 157 |
| 与执行局有关的其他事项 | | | | |
下一节讨论管理委员会和监事会都适用的若干专题。
薪酬和股份所有权
管理委员会的薪酬由监事会根据薪酬委员会的建议,根据大会通过的管理委员会薪酬政策确定。2022年大会通过了管理委员会现行的薪酬政策。
监事会的薪酬以《监事会薪酬政策》为依据。现行监事会薪酬政策于2021年由大会通过。监事会的薪酬并不取决于我们的(财务)结果。监事会成员不会获得ASML股票或收购ASML股票的权利,作为其薪酬的一部分。
获得或已经获得ASML股份或收购ASML股份的权利的管理委员会和监事会成员必须打算将这些股份仅用于长期投资。在完成ASML股票交易时,管理委员会和监事会成员必须遵守我们的内幕交易规则。管理委员会和监事会成员对ASML股票进行的任何交易都要向荷兰AFM报告。目前没有任何监事会成员拥有任何ASML股份或收购ASML股份的权利。
我们不会也不会向管理委员会和监事会成员提供任何个人贷款、担保或类似的东西。
我们的《公司章程》规定,管理委员会和监事会成员不得因其任务的直接结果而提出索赔,但此类索赔不得归因于各自成员的故意不当行为或故意鲁莽。我们还通过对每名成员分别签署赔偿协议,对管理委员会和监督委员会的成员进行了赔偿。
有关管理委员会和监事会薪酬的详细信息,请参阅:
薪酬报告。
多样性
2021年8月6日,美国证券交易委员会批准了纳斯达克股票市场修订上市标准的提案,以鼓励董事会多元化,并要求纳斯达克上市公司披露董事会多元化。根据修订的上市标准,ASML作为一家外国私人发行人,必须至少有两名不同的监事会成员,或解释未能达到这一目标的原因。此外,要求在表格20-F的年度报告中列入董事会多样性汇总表,其中载有关于监事会成员的某些人口统计资料和其他信息。ASML目前符合多样性要求,因为我们目前在监事会中有四名女性和五名男性成员。董事会多样性矩阵在本页列出。
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
董事会多样性矩阵 (状态按2022年12月31日计算) |
| | | | | | |
| | 女性 | 男性 | 非二进制 | 没有 披露 | |
| 第一部分:性别认同 | | | | | |
| 董事 | 4 (2021: 3) | 5 (2021: 5) | 0 (2021: 0) | 0 (2021: 0) | |
| | | | | | | |
| 第二部分:人口统计背景 | | | | | |
| 在家中代表不足的个人 国家管辖范围 | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |
| | | | | | | |
| LGBTQI+ | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |
| | | | | | | |
| 没有透露人口统计背景 | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | 0 (2021: 0) | |
| | | | | | | |
| | | | | |
主要执行机构所在国家/地区 | 荷兰 |
外国私人发行商 | 是 |
母国法律禁止披露 | 不是 |
监事会成员总数 | 9 (2021: 8) |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 158 |
| 其他与董事会有关的事项(续) | | | | |
| | | | | |
| |
| 我们认识到多样性和包容性的重要性”。 |
| 克里斯托夫·福凯 |
| 执行副总裁、首席业务官和管理委员会成员 |
2022年1月1日,性别多样性法案生效,为荷兰上市公司的监事会引入配额,此后监事会的组成应至少包括三分之一的男性和三分之一的女性。如不遵守这项规定,新任命将被宣布无效。此外,该法案还提出了一项要求,要求为荷兰上市和非上市的大型非上市公司和企业的管理委员会和高级管理层制定雄心勃勃的性别均衡目标,并提出了一项计划,概述了实现性别多样性目标所需的行动。根据《性别多样性法案》,各公司必须在财政年度结束后10个月内向荷兰社会和经济理事会报告性别均衡目标、计划以及在实现性别均衡目标方面取得的进展,并在管理报告中报告。
目前,监督委员会符合荷兰性别多样性法案的性别配额,因为监督委员会九名成员中至少有三名成员代表男女。
目前,管理委员会中没有妇女占据任何席位。2022年,监事会为管理委员会设定了性别均衡目标,即在2026年管理委员会至少有一名女性和至少一名男性成员。考虑到拟于二零二三年股东周年大会委任Wayne Allan为管理委员会成员,根据二零二三年股东周年大会的管理委员会人数,这将导致女性代表人数至少为17%,即六名成员。在为管理委员会设定性别均衡目标时,监事会考虑了ASML运营的技术环境,全球STEM(科学、技术、工程和数学)人才库人口稀少,这使得招聘女性人才具有挑战性。我们的研发人员中有16%是女性。监事会还考虑了ASML集团整体的女性代表性,为19%(2022年12月31日)和女性代表性 在……里面高级领导层(JG 13+),为10%(2022年12月31日)。此外,在2022年期间,制定了一项目标,即到2024年,妇女在高级管理层的代表性达到12%,目前的水平为10%。为了使高级管理层的性别目标切实可行,我们还制定了一个目标,即增加女性领导人的聘用和晋升(JG 13+), 12%2021年至2024年的20%监督委员会还将旨在提高妇女在高级领导层中的代表性的业绩指标纳入管理委员会的长期奖励。2022年,监事会更新
管理委员会多元化政策,可在我们的网站上找到。
监事会全力支持ASML在本年度报告中提出的多元化和包容战略。我们认识到,人力资本是ASML最宝贵的资产,我们的成功是由我们独特和多样化的团队推动的。多样性促进了不同观点和想法的融合,减少了群体思维,并确保ASML可以从所有可用的人才中受益。这也适用于管理委员会和我们的高级管理层,他们的多样化组成有助于有力的决策和适当的运作。多元化补充了ASML的公司价值观—挑战、协作和关怀。
我们正在建立和实施全公司的计划,以进一步促进员工各级的多样性和包容性。这包括旨在吸引、留住和培养多元化领导人的具体计划,目的是增加我们的人才库,担任高级领导和管理委员会职位。
我们的全球多样性与包容委员会成立于2021年,由高级领导人组成,他们代表ASML提供思想领导。该委员会由管理委员会的一名成员担任主席,向管理委员会提出多元化和包容战略,制定、促进和监督多元化和包容举措,并领导公司对我们目标的问责。我们还拥有一个全球多元化和包容团队,包括一名首席多元化官,负责推动ASML的多元化和包容性相关举措。
我们的多样性和包容性路线图专注于ASML的三个关键领域:领导力、文化和人才。
为促进员工队伍(包括管理委员会和高级管理层)的多样性和包容性,我们正在制定和实施旨在实现可衡量和可操作结果的计划。这些方案包括:
–为全球员工、经理和领导者举办内部培训课程
–参加国家工程会议,扩大我们的人才管道,使其在人口统计的所有领域更加多样化和包容
–与大学和组织合作,致力于建设多样性,创造专业发展和参与的机会
–执行全球D & I参与活动,如国际妇女节、LGBTQIA+骄傲月和全球多样性月
–组织D & I活动,有主旨演讲人参加
–支持员工网络通过指导计划回馈当地社区
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 159 |
| 其他与董事会有关的事项(续) | | | | |
特别是管理委员会,监事会将适当遵守ASML促进多样化和包容性工作环境的目标,挑选管理委员会的候选人。因此,ASML旨在通过考虑能够带来所需专业知识并有助于ASML多样性的候选人来填补空缺。监事会在评估管理委员会的组成和确定合适的继任候选人时,将根据客观标准和工作的具体情况,考虑候选人的才干,同时适当考虑多样性的相关方面。这尤其适用于不断努力实现更均衡的性别代表性。
在ASML为潜在管理委员会成员的内部发展努力中,我们努力争取不同的员工群体,特别是高级领导层的参与。
监事会或其甄选和提名委员会聘用的任何猎头公司将被特别指示纳入一般的不同候选人,特别是多名女性候选人。
2022年,我们在各个层面(包括个人贡献者和高层领导者)的性别多元化方面取得了进展。女性雇员目前占我们全球劳动力的19%,比去年提高了一个百分点。我们的目标是在迈向2024年时继续保持这一上升趋势。
为此,我们专注于现有团队成员的成长,并扩大人才库的多样性。我们已设定目标,将新聘员工中女性比例由二零二一年的20%提升至二零二四年的23%。2022年,24%的新雇员为女性。
目前,女性在领导层的代表性为10%,而我们的目标是到2024年达到12%。为了使这一点切实可行,我们制定了一个目标,即增加女性领导人的聘用和晋升, 12%2021年至2024年的20% 2022年,女性领导人的流入率为35%。
由于我们新员工的女性流入表现强劲,并认识到我们希望继续这一雄心勃勃的流入,我们已将2025年的目标确定为24%(与2022年的表现相同,但高于2024年的原定目标23%)。
这批有才华的女性员工将成为“榜样”,为更多人效仿铺平道路。我们相信,促进员工队伍的多样化将有助于我们吸引和留住聪明、有才能的人才,使我们能够推动满足客户需求的技术创新。
事实证明,在ASML所处的技术环境中,确保性别均衡是一项挑战。总体而言,全球STEM(科学、技术、工程和数学)人才库人口稀少,招聘女性人才更具挑战性。我们的研发人员中有16%是女性。我们近90%的工作岗位与STEM相关,而高科技行业的同行则有更多非STEM相关的工作岗位。ASML非常希望看到更多的女性现在和未来从事工程和科学事业。我们行业的高度专业化性质意味着实现这种平衡是一个长期的过程。我们正积极参与多个教育项目,以扩大管道,部署多项举措,以促进未来女性人才库中的STEM教育,并继续营造一个环境,使我们现有的劳动力可以蓬勃发展。
了解更多关于我们的多样性和包容性战略、倡议、女性领导层和绩效数据的信息,请参阅:
社会—所有人都有吸引力的工作场所—最佳员工体验和非财务报表—非财务指标—所有人都有吸引力的工作场所。
利益冲突和关联方交易
管理委员会和监督委员会的议事规则都纳入了利益冲突程序。这些程序反映了荷兰法律以及《守则》关于利益冲突的原则和最佳做法规定。
2022年,ASML或ASML的任何附属公司,或任何主要股东和管理委员会成员,高级职员,监事会成员或其任何亲属或配偶之间没有任何交易,目前也没有任何交易,除一般过程薪酬安排外。此外,ASML没有向管理委员会或监事会成员提供任何个人贷款、担保或类似贷款。
外部仓位
根据荷兰法律,管理委员会成员不得担任荷兰法律规定的两个以上的其他大公司或大型基金会的监事会成员。管理委员会成员不得担任大公司监事会主席。管理委员会成员在接受另一个大公司或基金会的职位之前,需要事先获得监事会的批准。管理委员会成员还必须向监事会通报他们担任或将要担任的其他重要职务。董事会成员从外部职位获得的薪酬(如有)应退还ASML,除非监事会根据管理委员会议事规则另行商定。
荷兰法律规定,监事会成员不得在荷兰法律规定的大公司或大型基金会担任超过五个监事会职位,主席职位数为两倍。
于二零二二财政年度,管理委员会及监事会全体成员均遵守上述规定。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 160 |
| 股东周年大会及股本 | | | | |
| | | | | |
| |
| 我们高度评价 的相互作用 我们的股东”。 |
| 杰拉德·克莱斯特利 |
| 监事会主席 |
股东大会
股东大会(AGM)每年至少举行一次,通常在荷兰的Veldhoven举行。于2022年,股东可选择亲自或透过网络出席2022年股东周年大会。股东周年大会的议程通常包括以下主题:
| | | | | |
| |
| –讨论管理报告和通过上一个财政年度的财务报表; –讨论股息政策及批准任何建议股息; –就过去一个财政年度的薪酬报告进行咨询性投票; –免除管理委员会和监事会成员在上一个财政年度履行职责的责任; –管理委员会有限授权发行ASML资本中的股份(权利),并排除此类发行的优先购买权,以及回购股份和注销股份;以及 –管理委员会、监事会或股东根据荷兰法律和公司章程提出的任何其他议题。 |
监事会、管理委员会或股东列入议程的提案,只要他们已根据适用的法律规定提交提案,将予以讨论和解决。至少占ASML已发行股本1.0%的股东,
代表股份价值至少为5000万欧元的股东有权在会议日期至少60天前将项目列入股东大会议程。
监事会或管理委员会认为必要时,可召开临时股东大会。此外,如果一个或多个普通股或累计优先股股东(合计占已发行股本至少10%)向监事会和管理委员会提出书面要求,则必须召开股东特别大会。请求必须详细说明要处理的事务。
根据荷兰法律规定,股东大会最迟于会议召开前42天通过ASML网站以公告方式召开。
记录日期订为股东周年大会当日前28日。在登记日登记为股东的人士有权出席会议并行使其他股东权利。
管理委员会和监事会通过议程解释以及为此目的所需或有用的文件,向股东提供与议程主题相关的信息。议程说明哪些议程项目是表决项目,哪些项目仅供讨论。所有与大会有关的文件,包括议程及解释,均张贴在我们的网站上。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 161 |
| 年度股东大会和股本(续) | | | | |
ASML股东可委任一名代表,代表其在股东周年大会上投票。此外,我们使用互联网代理投票系统,方便股东参与,而无需亲自出席。我们亦为股东提供选择权,让股东在股东周年大会前向独立民法公证人发出投票委托书或投票指示。我们不会向股东征求或提名代表。
混合年度股东大会
鉴于COVID—19疫情持续,我们于二零二二年举办了一次混合股东周年大会,让股东透过视频网络直播关注会议的议事程序及于会议期间以电子方式投票,以安排亲身出席及虚拟出席股东周年大会。除透过书面或电子代表提前投票之机会外,本公司亦提供亲身或以虚拟方式参与股东周年大会之机会。由于我们高度重视与股东的互动,我们邀请亲自出席股东周年大会的股东于股东周年大会期间就议程项目提出问题,我们为出席股东周年大会的在阿姆斯特丹泛欧交易所交易的股份持有人提供了通过虚拟会议平台以书面形式提出现场提问的机会。所有问题都在股东周年大会上得到了解答。
股东大会以绝对多数票通过决议案(除非公司章程或荷兰法律规定不同比例的投票),且一般不适用于该等会议的法定人数要求。
股东周年大会的投票结果于会议召开后15日内于本公司网站公布。股东周年大会的报告草稿于会议结束后三个月内登载于我们的网站或应要求查阅。股东有机会于其后三个月提供意见,其后报告由主席及会议秘书采纳。通过的报告也可在我们的网站上查阅,并应要求查阅。
权力
除每年在股东周年大会上提交的项目外,股东大会亦拥有其他权力,并适当遵守法定条文。其中包括解决:
–修改公司章程;
–在股东大会尚未为此目的指定管理委员会的情况下,发行股份;以及
–采纳管理委员会和监事会成员的薪酬政策。
公司章程的修订(建议)须经监事会批准。股东大会如提出修订组织章程细则之法定人数规定:须有过半数已发行股本出席;有关建议须获得最少四分之三之多数票。如未达到法定人数要求,应在第一次会议后四周内召开后续股东大会。在该第二次会议上,不论所代表的股本为何,决议案可获最少四分之三的票数通过。倘管理委员会提呈修订组织章程细则之决议案,则不论股东大会上所代表之股本,该决议案将以绝对多数票通过。
于二零二二年股东周年大会上,经监事会批准,管理委员会向股东大会提出修改《公司章程》的议案。该等修订主要涉及反映适用法律及法规的各种变动、简化组织章程细则及应用技术性修订。该提案已获股东大会采纳,新组织章程于2022年5月12日生效。有关公司章程细则修订的更多详细资料,请参阅本公司网站2022年股东周年大会网页。
本公司公司章程最重要条款的简要概述载于本公司于2013年2月8日提交给SEC的表格6—K(“本公司章程”)的附件99. 1,该表格以引用方式并入本公司。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 162 |
| 年度股东大会和股本(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML的法定股本为126.0欧元,分为: | | | |
| | | | | | | |
| | 股份类型 | 股份数量 | 名义价值 | 每股投票权 | | |
| | 累计优先股 | 700,000,000 | 每股0.09欧元 | 1 | | |
| | 普通股 | 700,000,000 | 每股0.09欧元 | 1 | | |
| | | | | | | |
| 每股面值0. 09欧元的已发行及缴足普通股如下: | | |
| | | | | | | |
| | 截至十二月三十一日止的年度 | 2020 | 2021 | 2022 | | |
| | 发行面值0.09欧元的普通股 | 416,514,034 | | 402,601,613 | | 394,589,411 | | | |
| | 发行面值0.09欧元的普通库存股 | 2,983,454 | | 3,873,663 | | 8,548,631 | | | |
| | 已发行普通股总额,面值0.09欧元 | 419,497,488 | | 406,475,276 | | 403,138,042 | | | |
| | | | | | | |
| | | | | | | |
87,875,651股普通股由280名注册持有人持有,注册地址位于美国。由于我们的若干普通股由经纪及代名人持有,美国纪录持有人的数目未必代表实益持有人的数目或实益持有人的居住地。
每股普通股由以下部分组成900 零碎股份。零碎股份赋予其持有人享有零碎股息的权利,但并不赋予其投票权。只有直接在荷兰股份登记册持有股份的人士,由我们在我们的地址为5504 DR Veldhoven,de Run 6501,the Netherlands持有,或在纽约股份登记册中由JP Morgan Chase Bank,N.A.持有,P.O. Box 64506,St. Paul,MN 55164—0506,美国,可以持有零碎股份。股东通过荷兰中央证券存管机构Euroclear Nederland维持的荷兰证券银行转罗交易法下的存款系统或通过存管信托公司持有普通股,不能持有零碎股份。
并无累计发行优先股。于二零二二年股东周年大会上获股东大会采纳之经修订组织章程细则后,资本架构有所变动。由于该等变动,我们不再拥有B类普通股。在删除B类普通股后,每股有一票表决权。
特别表决权、限制表决权和股份转让
本集团股本中已发行股份并无特别投票权。
2012年,我们向三个主要客户—英特尔、台积电和三星发行股票,作为客户共同投资计划(CCIP)的一部分,以加速ASML在EUV的开发。在此计划下,参与客户为某些开发计划提供资金,并投资于ASML的普通股。目前,只有一名参与客户仍(直接或间接)持有于CCIP已发行的普通股。某些投票限制适用于普通
与CCIP有关的股份。有关该等普通股的投票限制载于ASML与相关客户订立的相关协议。不在CCIP中发行的股份由向CCIP参与者发行存托凭证的基金持有。共计96,566,077普通股存托凭证于CCIP推出时发行。该数字自禁售期届满后随相关客户抛售而减少。
目前,根据荷兰法律或ASML的公司章程,ASML股本中的普通股转让没有限制。根据ASML的公司章程,每次转让累积优先股都需要监事会的批准。
发行和回购股份(权利)
我们的管理委员会有权在股东大会授权的范围内发行普通股和累积优先股。管理委员会要求监事会批准此类问题。大会的授权只能在不超过五年的一定期限内给予,每次延长不得超过五年。如果股东大会没有授权管理委员会发行股票,股东大会将被授权根据管理委员会的提议发行股票,前提是监事会已经批准了这样的提议。
ASML普通股的持有人拥有优先购买权,按其持有的普通股总面值的比例。这一优先购买权可能受到限制或排除。普通持有人
就任何以现金以外的代价发行的普通股或向雇员发行的普通股而言,股份并无优先购买权。股东大会授权的,管理委员会有权在监事会批准的情况下限制或排除普通股持有人的优先购买权。
2022年授权发行股份
在我们的2022年股东周年大会上,管理委员会获授权,自2022年4月29日至2023年10月29日,经监事会批准,发行最多占我们于2022年4月29日已发行股本的5%的股份和/或相关权利,另加我们于2022年4月29日已发行股本的5%,可能与合并、收购和/或(战略)联盟有关而发行的。我们的股东还授权管理委员会至2023年10月29日,经监事会批准,限制或排除与发行股份和/或股份权的一般授权有关的普通股持有人的优先购买权,最多不超过我们已发行股本的5%。另加5%与授权发行与合并、收购及/或(策略性)联盟有关的股份及/或股权有关的额外授权。
我们可以随时回购我们已发行的普通股,但要符合荷兰法律和我们的公司章程的要求。任何此类回购均须经监事会批准和股东大会授权,授权期限不得超过18个月。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 163 |
| 年度股东大会和股本(续) | | | | |
2022年授权回购股份
在2022年年度股东大会上,管理委员会被授权在2023年10月29日之前回购最多为2022年4月29日已发行股本10%的股份,回购价格介于所购普通股面值和这些证券在泛欧交易所阿姆斯特丹交易所或纳斯达克市场价格的110%之间。
有关我们的股票回购计划的更多详细信息,请访问:
综合财务报表-综合财务报表附注-附注22股东权益。
ASML优先股基金会
根据荷兰法律成立的ASML优先股基金会(Stichting Pferente Aandelen ASML)已被授予获得ASML股本中优先股的选择权。基金会可在基金会董事会认为涉及ASML的利益、ASML的业务或ASML的利益相关者的利益的情况下行使优先股选择权。在以下情况下可能会出现这种情况:
–对ASML股票的公开竞购被宣布或提出,或者有理由预期将在没有与ASML就此类竞购达成任何协议的情况下进行此类竞购;或
–基金会董事会认为,一个或多个股东联合行动(企图)行使投票权,与ASML的利益、ASML的业务或ASML的利益相冲突。
基金会的目标
基金会的目标是照顾到反兴奋剂机构及其所维持和/或隶属于该集团的企业的利益,以尽可能好的方式维护该协会、这些企业和所有相关方的利益,并尽其所能阻止与这些利益相冲突的影响,这可能会影响到该协会和这些公司的独立性或身份,以及与上述有关或可能对其有利的一切。基金会旨在通过收购和持有ASML资本中的累积优先股以及行使这些股份所附带的权利,特别是投票权来实现其目标。
优先股期权
优先股购股权赋予基金会获得基金会所需数目的累积优先股的权利,但该数目的累积优先股的总面值不得超过行使优先股选择权时发行的普通股的总面值。认购价将等于它们的名义价值。在最初发行累积优先股时,只需支付认购价的四分之一,其余四分之三的面值仅在ASML召回这一金额时支付。行使优先股选择权可以有效稀释已发行普通股的投票权一半。
累计优先股注销
ASML注销和偿还已发行的累积优先股需要得到股东大会的授权,由管理委员会提出并经监事会批准的相关提议。如果优先股选择权被行使,并因此发行累积优先股,ASML将应基金会的要求,启动回购或取消基金会持有的所有累积优先股。在这种情况下,ASML有义务尽快实施回购和相应的取消。注销将导致偿还已支付的金额,并免除支付累积优先股的义务。只有在累计优先股全部缴足股款后,才能进行回购。
如果基金会没有要求ASML回购或注销基金会持有的所有累积优先股, 20个月于发行该等股份时,吾等将须召开股东大会,以决定购回或注销该等股份。
董事会
该基金会独立于ASML。基金会的董事会由来自荷兰工商界和学术界的四名独立成员组成。基金会董事会于2022年12月31日由以下成员组成:A.P.M. van der Poel先生、S.佩里克,S.S.沃勒布雷特和J. Streppel先生。
除上述与基金会作出的安排外,ASML尚未建立任何其他反收购装置。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 164 |
| 年度股东大会和股本(续) | | | | |
大股东
《荷兰金融市场监督法》和美国证券法载有关于披露上市公司资本权益和投票权的要求。下表载列了向荷兰AFM或美国证券交易委员会报告拥有普通股实益拥有权的每名股东所拥有的普通股总数,该普通股至少占我们已发行及已发行普通股的3. 0%(就美国证券交易委员会而言,为5. 0%)。下表亦包括于二零二二年十二月三十一日由管理委员会成员拥有的普通股总数。以下有关股东的信息基于截至2023年2月8日向SEC和AFM提交的公开文件。
| | | | | | | | |
| 股票 | 班级百分比6 |
资本研究与管理公司1 | 40,615,837 | 10.29 | % |
贝莱德股份有限公司2 | 32,539,755 | 8.25 | % |
T.Rowe Price Group,Inc.3 | 13,527,385 | 3.43 | % |
ASML现任管理委员会成员(5人)4,5 | 89,892 | 0.02 | % |
1.根据2022年2月7日向AFM报告,资本研究与管理公司(CRMC)报告了365,542,532个投票权,对应于40,615,837股普通股(基于每股9票),但没有报告与这些股份相关的所有权。
2.仅基于BlackRock Inc.提交的附表13—G/A。贝莱德于2022年3月11日与美国证券交易委员会(SEC)签署了该等股份的投票权;贝莱德报告了这些股份中的29,277,159股。于2022年12月6日向AFM提交的公开文件显示,根据当时已发行股份总数及投票权计算,间接资本权益总额为5. 80%,投票权为7. 23%。
3.2022年11月8日提交给AFM的一份公开文件显示,T。Rowe Price Group,Inc.间接持有13,527,385股股份(包括普通股和纽约股)和13,098,195票,即按当时已发行股份总数和投票权计算,占资本权益的3.33%和投票权的3.22%。
4.不包括授予管理委员会成员的未归属股份。有关更多信息,请参见领导和治理—薪酬报告。
5.监事会成员不拥有任何股份。
6.于二零二二年十二月三十一日,占已发行及发行在外普通股总数的百分比为394,589,411股,其中不包括已发行但由ASML以库务形式持有的8,548,631股普通股。向AFM报告的股份所有权百分比表示为已发行普通股总数(包括库存股)的百分比,因此,本表中反映的百分比可能与向AFM或SEC报告的百分比不同。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 165 |
| 财务报告和审计 | | | | |
| | | | | | | | | | | | | | | | | |
| | | | | |
| | ASML刊发(其中包括)以下有关二零二二财政年度的年度报告: | 这两份报告具有相同的定性基础,并描述了相同的风险因素,这些风险因素是针对半导体行业、ASML和ASML的股票。我们还提供敏感性分析,提供: | | |
| | –根据荷兰法律的要求编写的法定年度报告。其中所列财务报表是根据《荷兰民法典》第2卷第9部分和欧盟国际财务报告准则编制的; –表格20-F的年度报告,根据《交易法》的要求编制。其中所包括的财务报表是按照美国公认会计准则编制的。 | | |
| | –对ASML财务报表的叙事性解释; –应分析财务信息的背景;以及 –关于我们的收益和现金流的质量和可变性的信息。 | | |
ASML每年编制两套年度报告,包括本页所列的财务报表。关于编制年度报告的过程,我们对报告的内容和布局有广泛的指导方针。这些准则主要基于上述适用的法律和法规。关于这些和其他财务报告的编制过程,我们采用内部程序来保障该等信息的完整性和准确性,作为其披露控制和程序的一部分。披露委员会协助管理委员会监督ASML的披露活动,并确保遵守荷兰和美国法律规定的适用披露要求以及其他监管要求。审计委员会和监事会经常讨论这些内部程序。
有关ASML的内部风险管理和控制系统,请参阅:
风险-我们如何管理风险-企业风险管理。
监事会审查和批准了管理委员会编制的ASML 2022年财务报表,所有监事会成员都签署了这份报表。毕马威已经对我们的财务报表进行了适当的审查,审计师的报告包括在综合财务报表中。
外部审计
根据荷兰法律,我们的外聘审计师由股东大会根据监事会任命的提名任命。监事会的提名依据是审计委员会和管理委员会的咨询意见,这两个委员会每年向监事会提交一份关于外聘审计员的业绩、与外聘审计员的关系及其独立性的报告。ASML的现任外聘审计员毕马威于2015年首次由大会任命,担任2016报告年度的审计人员,此后每年都被重新任命。在2022年年度股东大会上,毕马威被任命为2023年和2024年报告年度的外聘审计员。
2022年4月29日,ASML宣布监事会决定提名普华永道会计师事务所(PwC)为其2025年报告年度的外部审计师。普华永道的正式任命将在ASML 2023年的年度股东大会上提交投票。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 166 |
| 财务报告和审计(续) | | | | |
审计委员会审查和批准外聘审计员对财政年度内计划进行的审计的审计计划。除其他外,审计计划还包括外聘审计员在对年度账目以外的季度结果进行有限程序方面的活动。拟议的服务可在年初由审计委员会预先批准(年度预先批准),或在特定聘用的情况下由审计委员会在本年度预先批准(具体预先批准)。一年一度的预先审批是基于要提供的服务的详细分项清单,该清单旨在确保在确定一项服务是否已获得批准时没有管理层的自由裁量权,并确保向审计委员会通报其正在预先核准的每项服务。
荷兰法规要求荷兰公共利益实体的审计和咨询服务严格分离,美国法规限制美国上市公司的审计师可以提供的服务。荷兰法律禁止外部审计师在进行审计时接受其他服务。审核委员会监察外聘核数师所提供服务是否遵守荷兰及美国规则。
外聘核数师的薪酬由审计委员会代表监事会并在咨询管理委员会后批准。由于审核委员会在这方面具有最相关的见解和经验,监事会已将这些职责授予审核委员会。
有关主要会计师费用和服务的更多信息,请参阅:
其他附录—附录—主要会计师费用和服务。
原则上,外聘核数师出席所有审核委员会会议。外聘核数师的审计结果在这些会议上讨论。审计委员会向监事会报告与外聘审计师讨论的议题,包括外聘审计师关于年度报告审计的报告以及年度报告的内容。此外,外聘核数师可出席讨论年度外聘核数报告的监事会会议。外聘核数师亦可出席讨论季度财务业绩的监事会会议。
倘外聘核数师发现财务报告审核内容有违规之处,外聘核数师会即时通知审核委员会。
外聘核数师出席股东周年大会,回应股东就核数师就综合财务报表报告提出的问题(如有)。
内部审计
我们的内部审计职能的角色是通过执行独立程序,例如风险为本的营运审计、资讯科技审计及合规审计,评估我们的内部监控系统。内部审计部门直接向审核委员会及管理委员会汇报。年度内部审计计划由审核委员会、管理委员会及监事会讨论及批准。内部审核结果的跟进及与计划比较的进展每季度与审核委员会讨论。外聘核数师及内部审核部门定期举行会议。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 公司治理续 | 战略报告 | 治理 | 金融类股 | 167 |
| 遵守公司治理要求 | | | | |
企业信息
ASML Holding N.V.是一家通过其附属公司运营的控股公司。我们在荷兰、美国、意大利、法国、德国、英国、爱尔兰、比利时、韩国、台湾、新加坡、中国、香港、日本、马来西亚和以色列均设有营运附属公司。
阅读更多内容:
展品索引--展品8.1--主要子公司名单。
美国上市要求
由于阿斯利康在纽约的股票在纳斯达克上市,因此纳斯达克公司治理标准原则上适用于我们。然而,纳斯达克规则规定,除某些例外情况外,外国私人发行人可以遵循本国的做法,而不是纳斯达克的公司治理标准。我们的公司治理实践主要基于荷兰的要求。本页的表格列出了ASML所遵循的做法,以代替上述的纳斯达克规则例外。
| | | | | |
反洗钱取代纳斯达克规则的做法 |
法定人数 | ASML没有遵循纳斯达克适用于普通股东大会的法定人数要求。根据荷兰法律和普遍接受的荷兰商业惯例,ASML的公司章程规定,股东大会没有普遍适用的法定人数要求。 |
征求委托书 | ASML没有遵循纳斯达克在征集委托书和提供股东大会委托书方面的要求。ASML为股东大会提供委托书并征集委托书。荷兰公司法规定,荷兰上市公司的强制性(参与和投票)日期为股东大会日期前28天。在该记录日期登记的股东有权出席股东大会并行使其作为股东的权利,而不论在该记录日期后出售股份。 |
年报的分发 | ASML没有遵守纳斯达克关于在股东周年大会之前向股东分发包含经审计财务报表的年度报告副本的要求。根据荷兰公司法或荷兰证券法,或阿姆斯特丹泛欧交易所,不要求向股东分发我们的年度报告。此外,荷兰公司不分发年度报告是普遍接受的商业惯例。这在一定程度上是因为荷兰的无记名股份制度使得为了分发年度报告而保留无记名股份持有者的当前名单是不切实际的。相反,我们将于股东周年大会召开前42天,于荷兰公司总部(以及会议召集通知中所述的荷兰上市代理办事处)提供我们的年度报告。此外,在年度股东大会之前,我们会在我们的网站上张贴一份我们的年度报告。 |
股权补偿安排 | ASML没有遵循纳斯达克的要求,即高管、董事或员工可获得的股票期权或购买计划或其他股权薪酬安排必须获得股东批准。根据荷兰法律或普遍接受的惯例,荷兰公司在高管、董事或员工可获得的股权薪酬安排上,不需要获得股东的批准。股东大会通过管理委员会的薪酬政策,批准管理委员会的股权薪酬安排,并批准监事会的薪酬。薪酬委员会评价管理委员会个别成员在短期和长期量化业绩方面的业绩,而全体监事会则评价量化业绩标准。员工权益补偿安排由管理委员会在股东大会批准的范围内通过。 |
遵守《企业管治守则》
我们密切关注公司治理领域的发展以及相关公司治理规则对ASML的适用性。对ASML公司治理结构或《公司治理守则》应用的任何重大改变都将提交股东大会讨论。
我们认为,ASML完全符合2022年财政年度生效的《荷兰公司治理守则》的适用原则和最佳实践条款。
管理委员会和监事会,
维尔德霍温,2023年2月15日
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告 | 战略报告 | 治理 | 金融类股 | 168 |
| 监事会主席的贺词 | | | | |
| 在充满挑战的环境中,又一次创纪录的表现 | | | | |
| | | | | | | | |
| | |
| 监事会监督管理委员会执行其管理任务并为其确定方向,侧重于长期和可持续的价值创造。监事会成员是完全独立的。 | |
| | |
|
|
|
监事会相信,在我们优秀团队的技能和激情的支持下,完整的订单为未来几个月和几年奠定了坚实的基础。 |
杰拉德·克莱斯特利 |
监事会主席 |
尊敬的利益相关者:
尽管地缘政治动荡、高通胀和大规模供应链问题,2022年对我们公司来说又是创纪录的一年。在对微芯片的持续强劲需求的推动下,我们目前享受着我们历史上最满的订单-我们处于非常有利的地位,在未来几年实现进一步增长。
作为一家监事会,我们当然对这些成就感到非常高兴,这些成就只有在我们高度投入的员工队伍总是走得更远的情况下才能实现。我们感到满意,但不会自满。市场的高需求,特别是对DUV的需求,让我们大吃一惊,我们的系统和供应链问题使我们无法满足客户的所有要求。
为了保持我们的成功,我们正在努力为未来做准备。下面,我将概述我们在2022年期间重点关注的一些关键领域。
审查我们的产能计划
在过去的12个月里,无论是成熟技术还是尖端技术,对半导体的需求都是前所未有的,导致了我们历史上最满的订单。这是在全球经济增长放缓的背景下进行的,地缘政治紧张局势--包括乌克兰战争以及与新冠肺炎相关的遗留问题--导致了高通胀和对(地区)技术主权的渴望。
在这种高度动荡和不确定的环境中,监事会专门召开了几次会议,讨论不同的长期市场发展情景,并与管理层就ASML和我们的供应链的结构性产能扩展计划达成一致,以必要的灵活性应对市场波动。
监事会还与管理层详细讨论了满足客户短期需求所需的行动。虽然我们不能提供我们要求交付的所有产品,但我们确保我们的团队尽一切可能帮助我们的客户继续满足他们的需求。例如,我们的快速发货计划通过在客户现场而不是在我们自己的工厂进行一些最终测试和正式验收,减少了吞吐量时间并增加了产量。
组织以实现持续增长
回顾我们持续增长的优先事项,我们确认,到目前为止,我们的核心业务提供了最大的机会。这需要进一步加强我们与某些关键供应商的伙伴关系,我们在这方面正在取得良好进展。此外,我们在相邻的整体光刻市场看到了有趣的机会,我们将进一步探索。
我们努力在ASML培养一种基于我们的挑战、合作和关怀的价值观的统一文化。让不可能成为可能,并始终努力达到技术上可行的最前沿是我们公司的核心特征。然而,ASML的快速增长给我们的工作方式、我们的员工以及我们的管理能力和能力带来了巨大的挑战。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 169 |
| 监事会主席的贺词(续) | | | | |
我们已经从一家中小型公司成长为一个全球性的跨国企业,在一个地方运营,依靠少数人来监督整个组织。这种扩张需要不同的方法和新的结构,因此组织和人员发展一直是监事会的首要任务。我们已同意开展项目,以更新和加强我们的客户管理和供应链管理。
此外,作为监事会,我们一直高度关注管理层发展和继任规划。我们正与管理委员会共同努力,寻找和培养我们所需的人才,以确保我们在中层和高级管理层都有合格的继任者,以实现持续增长并满足市场对尖端光刻解决方案的需求。
强调ESG的重要性
环境、社会及管治(ESG)事项对我们及所有持份者而言日益重要。随着我们和我们所有的利益相关者,从客户和投资者到我们的员工和当地社区,人们越来越意识到所有企业必须在社会中发挥的作用。
监事会花了大量时间评估和讨论公司的ESG战略,并全力支持管理层做出的决策。
能源效率、气候行动、循环经济、水管理和产品安全是环境角度的关键承诺。与此同时,我们的管理层正在努力确保ASML成为一个对所有人都有吸引力的工作场所,并成为我们社区的重要合作伙伴,同时支持创新生态系统和供应链。我们的环境和社会倡议是对最高治理标准的坚定承诺。
与我们的利益相关者互动
年内,监事会继续走访客户及供应商,以了解他们所面临的挑战,并在最高层建立互动关系。我们访问了我们的主要客户之一英特尔,与他们的高级团队进行了接触,以进一步提高我们的客户关注度,并与我们所有光学器件的供应合作伙伴蔡司(Zeiss)进行了接触,探讨了如何使供应链更加稳健和灵活。
我们参观了内部职能部门,包括5L仓库项目和High NA工厂,让我们对ASML的专业知识有了很好的了解,并就需要进一步改进提供了宝贵的经验。l我们还参观了我们的主要技术合作伙伴之一——纳米光刻高级研究中心(ARCNL),在那里我们对他们的技术能力的深度印象深刻。
此外,监事会的一个代表团定期与劳资理事会会晤,以便更好地了解我国人民的需要和关切。虽然我们的想法通常与劳资议会的想法紧密一致,但我们确保我们与他们直接接触,为整个组织的人的感受提供一个清晰的沟通渠道。
此外,监事会成员定期会见机构投资者。例如,薪酬委员会主席经常与主要投资者接触,以确保薪酬政策与彼等的期望紧密一致。
展望未来
监事会相信,完整的订单——由我们优秀团队的技能和热情支持——为未来几个月和几年奠定了坚实的基础。尽管地缘政治因素、可能出现的温和衰退以及COVID—19的余波将继续阻碍确保供应链平稳运行的努力,但ASML已处于有利位置,有望于二零二三年再次取得优异表现。
在2023年的股东大会上,Rolf—Dieter Schwalb和我将在ASML监事会任职八年后辞职。我谨代表监事会感谢Rolf—Dieter对监事会的重要贡献,特别是作为审计委员会主席和之前担任薪酬委员会主席。
在我们担任董事会的8年中,我们参与了一个奇妙旅程,随着EUV从2014年的60亿收入公司增长到2022年的210亿美元公司,在绝对以客户为中心、技术实力和令人难以置信的强大“能做到”的心态的推动下,ASML从2014年的60亿收入公司成长为210亿美元公司。这一旅程将在我们的继任者的带领下继续下去。对我们来说,为我们服务是一种乐趣和特权。
最后,我谨代表全体监事会再次感谢我们39,086人团队的每一位成员,感谢他们在2022年的辛勤工作和纯粹的热情。
杰拉德·克莱斯特利
监事会主席
| | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 170 |
| 2022年监事会关注的焦点 | | | | |
| | | | | | | |
| | | | | | | | |
| | |
| | |
| 7 | 44% |
| 监事会会议(二零二一年:6) | 女性 成员(二零二一年:38%) |
| | |
| | |
| 95% | 4.0 |
| 上座率 (2021: 98%) | 年份平均值 任期(二零二一年:3.9) |
监事会负责监督管理委员会执行其管理任务并为ASML制定方向并向其提供咨询。监事会专注于长期和可持续的价值创造,目标是确保管理委员会执行一项战略,确保ASML作为半导体行业整体光刻解决方案供应商的领先地位。监事会维持适当的制衡制度,提供监督,评估业绩,并在需要或请求时提供咨询意见。通过良好的治理,我们帮助确保ASML的行为符合公司及其利益相关者的最佳利益。在本监事会报告中,我们报告了我们在2022年的活动。
我们很高兴看到,二零二二年在营业额、现金流及盈利能力方面是ASML又一个创纪录的一年。这也是充满挑战的一年,因为对我们产品的需求继续超过我们的产量。因此,该公司一直在努力提高产能。我们认识到,ASML的强劲增长导致了人员和组织发展领域的挑战。此外,地缘政治局势是一个真正的风险和不确定因素。然而,凭借创纪录的订单和明确的增长战略,我们相信ASML有能力继续为客户提供领先的、具有成本效益的图案化解决方案,推动微芯片的发展。
2022年监事会关注的焦点
2022年,监事会的议程围绕战略及其执行、财务和运营绩效、业务发展、风险管理以及人员和组织展开。根据年度战略审查中商定的ASML战略优先事项,通过深入研究的方式广泛讨论了几个主题,从而能够进行重点突出和深入的审查。
战略和长期价值创造
于二零二二年,监事会投入大量时间讨论策略性议题。我们对ASML的企业战略、长期财务计划以及EUV、DUV和应用的长期计划进行了定期年度审查。监事会全力支持ASML的战略,该战略将继续围绕五大支柱展开:加强客户信任、整体光刻和应用、DUV竞争力、EUV 0.33 NA制造和EUV 0.55 NA(高NA)插入。随着对ASML产品的强劲需求,加上公司对战略重点的执行,监事会对ASML的长期增长机会和持续向利益相关者提供价值充满信心。
作为年度战略审查的一部分,我们举办了专门的研讨会,重点关注ASML的价值战略和数据战略。本集团已深入检讨半导体行业的短期、中期及长期市场发展,以及为满足客户需求所需的相关产能提升。另一场会议侧重于长期有机和非有机增长机会。这些会议使各成员之间能够进行投入和重点突出的讨论。
监事会和管理委员会就关键战略事项进行沟通,我们高度重视这种为战略决策过程做出贡献的方式。
| | | | | | | | | | | | | | |
| 深潜 | | |
| 市场发展和ASML能力 | | |
| | | | |
| | | | |
| | | | |
| 监事会与管理委员会讨论了半导体行业的短期、中期和长期市场发展以及满足客户需求所需的相关产能增长。监事会关注的关键领域是各种需求驱动因素及其对总体需求的影响、潜在需求波动以及需求增长对产能的影响(ASML基础设施和FTE、供应链)。与能力提升有关的挑战和风险也是监事会的一个关键重点领域。 | | |
| | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 171 |
| 2022年监事会重点(续) | | | | |
| | |
|
除了年度战略审查,监事会全年通过深入调查处理战略主题,这使重点突出,深入 审查”。 |
杰拉德·克莱斯特利 |
监事会主席 |
财务和运营业绩
我们审阅了年度和中期财务报表,包括非财务信息、季度业绩和随附的新闻稿,以及年终美国公认会计原则和欧盟国际财务报告准则审计结果。
作为财务更新的一部分,监事会在审计委员会的协助下审查了ASML的融资和资本回报政策。监事会批准了管理委员会关于2022年度末期及中期股息的提议。此外,监事会监督2021—2023年股份回购计划的执行情况,该计划已于2022年10月18日完成。监事会还讨论并批准了2022—2025年股票回购计划,该计划于2022年11月10日公布。
2022年资本市场日召开了一次特别审计委员会会议,其中大部分监事会成员也出席了会议,讨论了有关消息。在这次会议上,我们对最新的市场前景和财务模式进行了广泛的审查和讨论。
作为监事会,我们对公司的财务表现感到满意,我们相信ASML处于有利地位,以可持续的方式继续实现长期增长和利益相关者价值。
业务发展
2022年,在全球电子行业大趋势以及各国在复杂地缘政治背景下推动技术主权的推动下,我们见证了先进和成熟节点晶圆需求持续增长。需求的激增对我们自身的运营和供应链都带来了挑战。监事会密切监测这方面的发展,并看到管理层以最高优先级应对这些挑战。
作为半导体行业的技术领导者,技术进步是ASML的首要任务之一。监事会密切关注产品和技术路线图的执行情况,并高兴地看到ASML EUV 0.33 NA扫描仪平台在大批量生产中得到越来越广泛的应用,以及对下一代EUV 0.55 NA(High—NA)平台的承诺不断增加,该项目的团队在该项目上取得了巨大进展。
| | | | | | | | | | | | | | |
| 深潜 | | |
| | | | |
| 生长 | | |
| | | | |
| | | | |
| | | | |
| 增长是一个中心主题,涉及ASML的许多方面。因此,增长也是监事会在2022年的首要任务。我们与管理委员会讨论了我们在各个领域的增长所带来的挑战,包括如何提高客户信任度和业绩、我们的人员和组织、我们的产出能力和我们的创新,以及如何可持续增长。在所有这些主题上,我们举行了公开的对话,监事会向管理委员会提出了挑战并提出了建议,不仅是关于如何应对ASML目前正在经历的增长,而且是关于如何组织未来至2030年的预期增长。 | | |
| | | | |
人员和组织
鉴于ASML近年来的显著增长,人员和组织的主题继续成为监事会于2022年的重点关注领域,因为我们相信这些对ASML未来的成功至关重要。我们多次获得有关人力资源和组织的最新信息。涵盖的主题包括ASML在ASML领导力计划方面取得的进展、年度员工敬业度调查的结果以及多样性和包容性战略和取得的进展。公司还特别关注ASML的文化和价值观,监事会的重点是如何保持使ASML在员工数量迅速增长的同时取得成功的文化。同时,对ASML文化的内部和外部观点进行了讨论。我们还广泛讨论了ASML在当前和未来增长的背景下的组织设置。经过讨论,监事会决定在管理委员会中定位执行副总裁兼首席战略采购官的角色,如2022年10月19日的新闻稿所宣布的。此外,监事会在遴选和提名委员会的协助下,就ASML的人才管理和人员发展计划以及管理委员会和高级管理层的继任规划进行了广泛讨论并提供了建议。监事会很高兴看到新员工的入职努力,使他们能够尽快发展并做出贡献。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 172 |
| 2022年监事会重点(续) | | | | |
此外,作为监事会,我们发现业务流程适合增长是很重要的。因此,我们监督了各种转型计划,如业务绩效改进(BPI)计划,重点是改进我们的跨部门、与产品无关的业务流程。作为BPI计划的一部分,我们还监测了One Program的进展情况,One Program是ASML的计划,致力于在我们的客户产品的生命周期中确保配置完整性,同时在其升级的主干信息系统的支持下,增强业务流程和保持灵活性。我们特别注意了该计划的子路线图,在这些路线图中,进展比计划的要少,我们关注挑战和缓解行动。我们将继续密切关注事态的发展。
| | | | | | | | | | | | | | |
| 深度潜水: | | |
| ESG可持续发展战略 | | |
| | | | |
| | | | |
| | | | |
| 作为监事会,我们认为ESG的可持续性是一个越来越重要的话题。虽然监事会保持对ESG可持续性的全面监督,但在委员会层面上讨论了各种ESG可持续性方面的问题,例如在审计委员会的报告、遴选和提名委员会的多样性、作为薪酬委员会管理委员会激励计划一部分的ESG可持续性以及在技术委员会的产品和技术方面。2022年,我们与管理委员会讨论了ASML更新的ESG可持续发展战略和执行。在深度潜水会议中,特别关注EUV能源效率,这也是一个关键的焦点领域,因为ASML的CO2减少野心、多样性和包容性战略及其实施。为了强调ESG可持续性的重要性,监事会决定在管理委员会的激励计划中纳入与ESG可持续性战略直接相关的指标,并增加权重。 | | |
| | | | |
风险管理
由于风险管理是监事会职责的一个关键要素,我们在年内定期收到风险管理的最新情况。我们侧重于风险格局和该领域的事态发展、风险偏好以及管理委员会为减轻关键风险而采取的措施。s. 我们特别关注了整个产品组合对ASML产品需求的强劲增长所带来的挑战,这影响了ASML风险格局中的多种风险。我们还重点关注了与组织快速增长相关的风险。年内,在深潜会议中对特定的风险领域进行了审查。这些风险包括有形和信息技术安全风险、与按计划交付的能力有关的风险以及考虑到全球贸易形势的政治风险。
更多内容请阅读:
风险—我们如何管理风险。
与利益相关者的关系
监事会定期讨论ASML与其股东的关系,监事会成员全年与股东就ASML的战略和业绩、治理和ESG等主题进行接触。薪酬委员会与ASML的各种股东和其他利益攸关方就管理委员会的薪酬问题进行了接触。更多信息可以在薪酬报告中找到。
2022年,监事会代表团与劳资委员会举行了两次正式会议。我们就ASML的战略和优先事项、ASML的表现和挑战,特别是与ASML业务的增长和增加的复杂性有关的问题交换了意见。在这方面,讨论了支持反洗钱增长和制度化的新进程的有效性。其他讨论主题包括ESG、ASML的开发和执行计划、领导力发展以及与在家工作/返回现场工作相关的现状和未来计划。讨论了监事会和管理委员会的组成,特别是根据2022年和2023年股东周年大会的变化。劳资委员会和监事会还广泛讨论了管理委员会的2022年薪酬政策;关于与劳资委员会就高管薪酬问题进行互动的更多信息,可在薪酬报告中找到。
2022年11月,监事会访问了我们的主要客户之一英特尔。监事会会见了英特尔的管理层,并参观了英特尔在美国俄勒冈州希尔斯伯勒的工厂。讨论的主题包括市场前景、英特尔的技术路线图以及ASML如何支持它,以及两家公司之间的关系。对于监事会来说,这样的访问非常有价值,因为它增加了我们对客户和他们面临的挑战的了解。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 173 |
| 2022年监事会重点(续) | | | | |
其他主题
2022年监事会会议期间考虑的其他议题包括:
–遵守规则和法规:我们监测遵守规则和法规的情况,包括荷兰公司治理守则,并随时了解关键法律事项,包括在 出口管制条例。
–监事会的组成、概况和职能:我们广泛讨论了我们自己的组成、概况和职能、董事会委员会的组成和职能以及管理委员会的组成和职能。更多信息见遴选和提名委员会的报告。
–管理委员会的组成及表现:我们亦监察管理委员会的表现,并决定管理委员会的薪酬目标及目标成就。有关详情可参阅甄选及提名委员会及薪酬委员会之报告。
在这一年中讨论的主题的概述可以在右边的列表中找到。
本年度概览
Q1
–2021年度业绩及年报
–2021年外部审计报告
–2021年末期股息
–薪酬管理委员会和监事会
–风险管理,包括深度挖掘:根据计划交付的能力
–ESG战略,包括深入研究EUV能源效率和多样性与包容性
–超出当前范围的扩张和并购战略
–监事会评价结果
–监事会的组成
–管理委员会的组成
–管理委员会的薪酬政策
–管理委员会和监事会议事规则修正案
–修改《公司章程》
–外聘审计员轮换
–法律事项报告
–年度股东大会议程
Q2
–战略深潜:未来运营模式
–策略深度挖掘:工具分配策略
–战略深度挖掘:提升端到端供应链(包括工业足迹)的场景
–市场前景和需求驱动因素
–商业部门的最新情况:EUV、DUV、应用
–年度股东大会更新
Q3
–2022年法定中期报告
–现金回报,包括股息政策和中期股息
–参观ASML新物流仓库(5L)
–人力资源和运营更新
–风险管理:更新风险格局和深入探讨:安全
–战略深入:2023-2027年光刻需求及其对ASML产能的影响
–业务绩效改进计划,包括我们新企业计划的更新
–修订内幕交易规则
Q4
–年度战略审查
–中长期财务计划和2023年年度计划
–更新各业务部门和职能部门的业务计划
–现金回报,包括中期股息和股票回购计划
–战略深度潜水:超越当前范围的扩张
–战略纵深:价值战略
–战略深度:数据
–与采购、供应链、客户和运营模式相关的转型项目
–资本市场日宣传信息
–监事会的组成
–管理委员会的组成
–HR&O,包括对多样性、包容性和文化的深入研究
–客户深度探讨:英特尔
–英特尔访问
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 174 |
| 会议和出席情况 | | | | |
会议和出席情况
监事会每年至少召开四次会议,并在主席、一名或多名监事或管理委员会要求召开会议时召开。
2022年,监事会共召开7次会议。在这些会议中,三次是虚拟会议,四次是面对面会议。在ASML总部举行了三次面对面会议,一次在荷兰以外举行,一次在美国举行。除这些会议外,监事会和/或管理委员会成员之间还举行了几次非正式会议和互动。
监事会会议及监事会委员会会议为期数天,确保有时间进行审议及讨论。在每次会议上,监事会成员相互讨论会议的目标和结果,以及监事会和管理委员会的职能和组成等议题。每次会议还讨论监事会各委员会的报告。
监事会会议及四个监事会委员会会议出席率很高,如最右边的表格所示。
除监事会成员外,管理委员会成员也应邀出席监事会会议。管理层全体成员均出席2022年监事会会议。高级管理人员定期应邀就其专业领域内的主题提供最新资料。这使监事会有机会了解各种ASML管理人员,监事会认为这对其人才管理和继任规划活动非常有用。
| | | | | | | | | | | | | | |
| 监事会会议 | | |
| | | | |
| 2022年大部分监事会会议及委员会会议均以亲身方式举行,但监事会亦在某些场合举行会议。监事会利用新型冠状病毒疫情期间的虚拟会议经验,继续应用多项为促进会议讨论而开发的解决方案,例如在小组内组织分组讨论,以优化互动。我们还使用视频进行会议准备,并提供书面会议文件,以便有尽可能多的时间进行讨论。监事会成员就在年度评估中采用这些解决方案提供了积极反馈。 | | |
| | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | |
| 监事会会议出席率概述 | | | | | |
| | | | | | | | | | | |
| | | | | | | | | | | |
| | | 95% | | | | | | |
| | | 上座率 | | | | | | |
| | 名字 | | | 监管 板 | 审计 委员会 | 薪酬委员会 | 推选及提名委员会 | 技术委员会 | | |
| | Gerard Kleisterlee(主席) | 7/7 | 7/7 | 不适用 | 6/6 | 5/5 | | |
| | 安妮特·阿里斯 | 6/7 | 不适用 | 4/4 | 6/6 | 5/5 | | |
| | 比尔吉特CONIX | 6/7 | 6/7 | 不适用 | 不适用 | 不适用 | | |
| | 马克·杜尔坎 | 7/7 | 不适用 | 不适用 | 6/6 | 5/5 | | |
| | 沃伦·伊斯特 | 6/7 | 5/7 | 不适用 | 不适用 | 不适用 | | |
| | 亚历山大·埃弗克1 | 4/4 | 不适用 | 3/3 | 不适用 | 不适用 | | |
| | 特里·凯利 | 7/7 | 不适用 | 4/4 | 6/6 | 不适用 | | |
| | 罗尔夫-迪特尔-施瓦布 | 7/7 | 7/7 | 4/4 | 不适用 | 不适用 | | |
| | 安斯蒂根2 | 4/4 | 不适用 | 不适用 | 不适用 | 1/2 | | |
| | 汉斯·斯托克3 | 3/3 | 不适用 | 1/1 | 不适用 | 3/3 | | |
| | | | | | | | | | | |
| 1.于二零二二年四月二十九日之股东周年大会获委任;亦获委任为薪酬委员会成员。 2.于2022年4月29日于股东周年大会上获委任;亦获委任为技术委员会成员。 3.于二零二二年四月二十九日根据股东周年大会卸任。 | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 175 |
| 会议和出席情况(续) | | | | |
作文、培训和评估
作文
监事会决定履行其职能所需的成员人数,最少为三名成员。监事会目前由9名成员组成。监事会高度重视其组成、独立性和多样性,并努力满足所有相关准则和要求。为确保适当和平衡的组成,监事会持续花费大量时间讨论其概况、组成和轮换时间表。
独立
为了妥善履行其职责,监事会认为,其成员必须能够独立于彼此、管理委员会和其他利益攸关方,严格地采取行动。监事会及其个别成员的独立性每年进行评估。监事会的所有现任成员均按照荷兰公司治理守则的定义完全独立,并已完成年度问卷调查,以解决相关独立性要求。
多样性
ASML的监事会目前的组成在性别、国籍、知识、经验和背景等方面是多样的,并在国际商业的金融、经济、技术、社会和法律方面具有适当的经验水平。有关多元化的更多信息,请参阅公司治理—其他董事会相关事项。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | |
| 监事会技能矩阵 | | |
| | | Gerard Kleisterlee(主席) | 安妮特·阿里斯 | 比尔吉特CONIX | 马克·杜尔坎 | 沃伦·伊斯特 | 亚历山大·埃弗克 | 特里·凯利 | 罗尔夫-迪特尔-施瓦布 | 安斯蒂根 | | |
| | 一般技能 | | |
| | (上市)国际公司执行董事会成员 | | | | | | | | | | | |
| | 财务/治理 | | | | | | | | | | | |
| | 报酬 | | | | | | | | | | | |
| | 人力资源/雇员关系 | | | | | | | | | | | |
| | IT/数字/网络 | | | | | | | | | | | |
| | ESG | | | | | | | | | | | |
| | ASML特定技能 | | |
| | 半导体生态系统 | | | | | | | | | | | |
| | 对半导体技术的深刻理解 | | | | | | | | | | | |
| | 高科技制造/集成供应链管理 | | | | | | | | | | | |
| | 在亚洲地区业务 | | | | | | | | | | | |
关于监事会成员的进一步信息和背景,包括国籍、性别和年龄的详细情况, 请参阅 |
监事会成员在公司治理中的信息—监事会。 | |
| | | | | | | | | | | | | |
2022年组成变化
于任期届满时,Hans Stork于2022年股东周年大会上不再参选,并于监事会任职八年后退任监事会。监事会决定,在适当遵守监事会概况和轮换时间表的情况下,提名两名候选人Alexander Everke先生和An Steegen女士,以供2022年股东周年大会任命。股东大会决议委任Alexander Everke及An Steegen,任期四年,自二零二二年股东周年大会日期起生效。因此,监事会于二零二二年股东周年大会后由九名成员组成。
2023年的组成变化
于二零二二年股东周年大会上,监事会发出通知,Gerard Kleisterlee及Rolf—Dieter Schwalb的委任任期将于二零二三年股东周年大会届满。
Gerard Kleisterlee及Rolf—Dieter Schwalb已通知监事会,彼等将不会参选连任,并将于本任期届满后于二零二三年股东周年大会上退任。监事会感谢Gerard Kleisterlee和Rolf—Dieter Schwalb在过去八年中做出的宝贵贡献,在此期间,监事会从他们的知识和经验中受益匪浅。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 176 |
| 会议和出席情况(续) | | | | |
2022年11月23日,监事会宣布拟提名Nils Andersen和Jack de Kreij为监事会成员,自2023年股东周年大会起生效,并打算在任命后选举Nils Andersen为监事会主席,Jack De Kreij为审计委员会主席。此外,Nils Andersen还打算当选为甄选和提名委员会主席。Jack de Kreij先生拟于获委任后当选为薪酬委员会成员。截至2022年第四季度,两位候选监事会成员均以观察员身份出席监事会会议,以确保顺利入职。
2023年股东周年大会的议程和解释性说明将包含有关这两名监事会成员的提名的进一步信息.
入职和培训
我们为新任命的监事会成员制定了全面的入职计划,旨在确保新成员充分了解我们的业务和战略,以及我们面临的主要风险。就职计划包括与监事会和管理委员会其他成员的会议,技术教程和我们的业务线,部门和公司部门的详细介绍。现场参观和工厂参观也是入职计划的一部分。在加入监事会后,Alexander Everke和An Steegen完成了一个入职计划,部分是虚拟的,部分是面对面的。Nils Andersen和Jack de Kreij也将在任命前完成他们的入职计划。
为确保长期教育,监事会定期在全体会议和监事会委员会会议上就各种主题进行深入研究。2022年,我们就多个主题进行了战略和风险深度研究:请参阅本监事会报告中的“2022年我们的活动”一节。此外,外聘讲者或顾问亦出席多个委员会会议,就科技发展及科技展望等议题提供由外而内的意见。 监督委员会还进行了实地考察。我们参观了ASML总部的5L物流中心,在那里我们亲眼目睹了新物流中心的建设过程,并对取得的成就印象深刻。我们还参观了ASML位于美国俄勒冈州希尔斯伯勒的办公室,监事会在那里会见了当地管理层和员工,以及我们的主要客户之一英特尔。技术委员会参观了纳米光刻高级研究中心(ARCNL),了解ARCNL如何与ASML合作,并亲眼看到ARCNL在阿姆斯特丹的设施。
评估
监事会高度重视结构性和持续性的评估过程,认为这是确保我们工作方式不断改进的一种手段。监事会在遴选和提名委员会的协助下,每年评估监事会及其委员会的组成、能力和职能、监事会与管理委员会之间的关系、其委员会、其个别成员、监事会和委员会主席,以及管理委员会及其个别成员的组成和职能,以及
监事会和管理委员会成员的教育和培训需求。
原则上,监事会每三年由一名外部顾问进行一次评估;在另外两年,监事会的评估通过使用书面问卷进行自我评估,随后由主席与监事会成员进行一对一的会议进行。
对监事会及其委员会进行了2022年评估通过甄选和提名委员会编写的网上调查,监事会主席还会见了监事会成员。评价围绕以下主题:组成、利益攸关方监督、战略监督、风险管理和继任规划、会议的管理和重点以及改进的优先事项。一个具体的重点是对上一年的建议采取后续行动。管理委员会的向上审查也是年度评估的一部分。
监事会评估结果于2023年初讨论。结论是,监事会及其委员会继续运作良好。进一步改善监事会运作的建议将于2023年实施。这些建议包括进一步与管理委员会就战略议题和新出现的风险开展公开和建设性的对话,并进一步关注监事会确定的关键优先事项。其他建议涉及不断加强对利益攸关方,特别是客户和供应商的监督,
增加我们直接利益相关者对生态系统的了解。在相关的情况下,取得由外而内的观点是评价提出的另一项建议。会议还确定了改进会议材料重点和简洁性的机会,例如列入突出关键讨论项目的执行摘要。监事会进一步决定在2023年对其概况进行深入分析,并考虑到公司治理的发展情况,调查成立ESG可持续发展委员会。.
2022年管理委员会也进行了自我评估该报告的重点是管理委员会集体的作用、责任和职能,以及管理委员会成员的职能。这一自我评价是在专门讨论这一主题的一些场外管理委员会会议上进行的。管理委员会处理的重要方面包括管理委员会的战略重点、利益相关者的参与、人员和组织、董事会动态和(未来)董事会组织。此外,2023年,管理委员会将举行特别会议,继续讨论并跟进所提出的意见。自我评价的总体结论是,ASML有一个运作良好的管理委员会。本公司亦与监事会及其遴选及提名委员会讨论了自我评估。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 177 |
| 监事会委员会 | | | | |
监事会有四个常设委员会,成员由监事会从其成员中任命。全体监事会仍对所有决定负责,即使是由监事会的一个委员会制定和作出的。
监事会的四个委员会支持全体董事会的决策。在监事会全体会议上,各委员会主席就其委员会会议上讨论的事项提出报告。此外,全体监事会成员均可查阅会议文件和会议记录,使全体监事会能够作出适当决定。
有关审核委员会、技术委员会及遴选及提名委员会的进一步资料,请参阅本监事会报告。有关薪酬委员会的进一步资料可参阅薪酬报告。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | 监事会 | | | | | | |
| | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | |
| 审计 委员会 | | | | 薪酬委员会 | | | | | 技术委员会 | | | | 推选及提名委员会 | |
| | | | | | | | | | | | | | | | | | | |
| 协助监督财务报告的完整性和质量以及风险管理和控制的有效性 | | | | 与审计和技术委员会合作,监督薪酬政策的制定和执行 | | | | | 就执行业务战略所需的技术计划提供建议 | | | | 协助制定监事会和管理委员会的甄选标准和任命程序 | |
| 3 | | | | 4 | | | | | 4 | | | | 4 | |
| 成员 | | | | 成员 | | | | | 成员 | | | | 成员 | |
| 阅读更多关于第178页> | | | | 阅读更多关于第190页> | | | | | 阅读更多关于第183页> | | | | 阅读更多关于第181页> | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 178 |
| 监事会委员会(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | |
| 审计委员会 | |
| | | | | | | | | | |
| 审核委员会协助监事会监督财务报告的完整性和质量以及内部风险管理和内部监控系统的有效性。 | | | | 经常性议程专题(季刊) | | 出席率 | |
| | | –财务最新情况和筹资 –回顾季度财务业绩和新闻稿 –计费更新 –内部控制更新 –外聘审计员的意见 –风险和内部审计更新 –披露委员会报告 –法律事项报告 –道德与合规 | | 除审核委员会成员外,监事会主席尽可能出席审核委员会会议。外聘核数师及内部核数师获长期邀请出席审核委员会会议,并于二零二二年出席所有审核委员会会议。首席执行官、首席财务官、财务执行副总裁、公司总会计师、风险和业务保证主管均受邀出席会议。 | |
| | | | | | | | |
| 成员: | | 主要职责: | | | | |
| –Rolf—Dieter Schwalb(主席) –比尔吉特CONIX –沃伦·伊斯特 | | –监督ASML财务报表和相关非财务披露的完整性和质量,并提交确保此类完整性的建议; –监督会计和财务报告程序以及财务报表的审计; –监督我们内部风险管理及监控系统的有效性,包括遵守相关法例及规例,以及行为守则的效果; –监督我们的披露控制和程序系统以及我们的财务报告内部控制系统的完整性和有效性; –监督外聘审计员的资格、独立性、业绩并确定其报酬; –监督内部审计的运作。 | | | | |
| | | | | | |
| 审核委员会成员均为监事会的独立成员。 监事会已根据《萨班斯—奥克斯利法案》第407条和荷兰法定规则,考虑到Schwalb先生和Conix女士广泛的财务背景和经验,认定他们都有资格担任审计委员会财务专家。 | | | | | |
| | | | | | | |
| | | | | | | |
| | | | 下文概述提供审核委员会于二零二二年会议期间讨论的多个议题,以及经常性的议程议题。 | |
| | | | | | | |
| | | | Q1 | | Q3 | |
| | | | –2021年度报告和财务报表美国公认会计准则和欧盟国际财务报告准则 –会计深度研究:资产负债表审查 –2021年外部审计报告 –年度报告流程 –现金回报:2021年末期股息 –欺诈-风险评估 –2021年外聘审计师评估结果 –审计委员会自我评价的结果 –风险与内部审计年度计划 –外聘审计员轮换 | | –2022年中期报告 –融资、资本分配和股息政策 –季度中期股息建议及股份回购计划 –合规深度研究:金融 –金融和IT转型计划 | |
| | | | Q2 | | Q4 | |
| | | | | | | –批准2022年外部审计计划 –2021年管理委员会和监事会报告 –安全,包括IT安全 –外聘审计员轮换 | | –现金回报包括2022年第四季度中期股息建议和股份回购计划 –资本市场日宣传信息 –2022年度报告流程 –长期财务计划 –2023年度计划 –会计深度研究:ESG报告要求,包括CSRD –年度税务更新 –外部审计最新情况 –审查审计委员会的议事规则
| |
| 于二零二二年第四季度,审核委员会就环境、社会及管治报告要求进行会计深入研究。 | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 179 |
| 监事会委员会(续) | | | | |
审核委员会获提供所有相关资料,以充分及有效地监督财务资料的编制及披露。这包括关于半导体市场现状和发展的信息,以支持对未来6至12个月的前景和预算的判断,欧盟—国际财务报告准则和美国公认会计原则的应用,会计政策的选择以及内部和外部审计师的工作。
2022年审核委员会会议
审核委员会每年最少举行四次会议,并于季度、半年及年度财务业绩公布前举行。于二零二二年,审核委员会举行了七次会议。
金融类股
于二零二二年,审核委员会专注于(其中包括)财务报告,尤其是审阅ASML的年度及中期报告,包括年度及中期财务报表及非财务资料。审核委员会亦密切监察美国公认会计原则及欧盟国际财务报告准则年终审核的进展,并讨论其结果。季度业绩及随附的新闻稿在公布前已审阅。
公司总会计师每季度向审核委员会提供最新会计资料,重点介绍与该季度有关的主要会计事项。审核委员会在此方面经常关注的项目是收入确认,因为这是一项复杂的会计事项,亦被外聘核数师识别为关键审计事项。审计委员会季度程序的其他重要内容包括讨论外聘审计员关于会计事项的意见,以及披露委员会关于季度披露的准确性和完整性的报告。年内,本集团已深入探讨特定会计课题,例如新兴的环境、社会及管治报告要求。还进行了年度深入资产负债表审查。
会议广泛讨论了ASML的运营和财务短期和长期业绩,研究了各种业绩方案及其对ASML的业绩和现金产生的影响。ASML的融资和现金回报政策已被详细审查,特别是股息政策的变化,允许季度股息支付,执行2021—2023年股票回购计划和2022—2025年新股票回购计划(如2022年11月10日宣布)。
审计委员会审查并就长期财务计划、ASML的融资和ASML的现金返还政策向监事会提供咨询意见。具体讨论的议题包括2021财政年度的建议末期股息派付及2022财政年度的中期股息派付,该等股息已获监事会根据审核委员会的建议批准。审核委员会亦广泛讨论经修订股息政策,规定按季度派付股息。经修订股息政策已于二零二二年七月公布。
风险管理及内部监控
于二零二二年全年,审核委员会密切监察风险管理及风险管理程序,包括根据季度进度更新及时跟进高度优先行动。审核委员会监督年度内部监控程序,重点是范围界定、重要性水平、内部监控框架的更新、设计和有效性测试以及管理层对ASML财务报告和披露内部监控的评估。内部核数师及外聘核数师就内部监控之设计及有效性所提出之意见亦已与审核委员会讨论。
新兴风险和风险深度跳水
2022年,鉴于ASML对风险环境中多个风险类别的潜在影响,我们对ASML的增长和增长以满足客户需求而带来的新兴风险进行了深入审查。我们详细研究受影响的风险及管理层识别的缓解措施。我们特别关注流程的有效性和效率风险,重点是支持流程,不仅是考虑到与显著增长相关的挑战,还考虑到ASML产品、IT和流程环境的不同业务模式。
此外,对与物理和IT安全相关的主要风险和发展进行了深入审查,特别关注风险缓解行动的进展和ASML安全能力的进一步发展。
道德与合规
我们认识到,以最高的诚信标准行事,对我们的利益相关者创造价值以及ASML的长期成功至关重要。审计委员会收到关于道德操守方案的季度报告,包括道德操守领域的趋势和风险以及道德操守培训战略。2022年,我们还讨论了ASML的合规计划,包括对财务合规的深入审查。此外,还提供了关于欺诈和欺诈风险管理的年度最新情况。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 180 |
| 监事会委员会(续) | | | | |
内部审计
审核委员会已审阅年度内部审核计划,包括二零二二年初的审核范围。年内,审核委员会每季度获更新内部审核活动的进展,并审阅所进行的审核结果以及行动计划的跟进情况。审核委员会亦讨论内部管理层函件,并监察管理层就内部管理层函件所提建议的跟进工作。
外部审计
审核委员会已审阅二零二二年外部审核计划,包括范围界定、重要性水平及费用。其监察外部审计活动的进展,包括审阅季度程序中提出的意见及年终进行的审计。审核委员会监督管理委员会就外聘核数师于其定期内部监控更新报告中报告之监控缺陷采取的后续行动。审核委员会确认,二零二二财政年度之沟通并无包含须于本报告提及之重大项目。
审核委员会已评估外聘核数师于二零二二年底之表现,包括审阅彼等之独立性。于二零二二年股东周年大会上,毕马威会计师事务所获委任为二零二三年及二零二四年报告年度之外聘核数师。
由于目前的主要审计伙伴出于独立性原因,只能在2024年报告年度(包括2024年)之前担任这一职务,因此现任外聘审计员将在2024年报告年度之后轮换。审计委员会认为,鉴于可供选择的审计公司数量有限,必须及时开始筹备和甄选工作。此外,审计委员会认为,必须有足够的时间让新的外部审计公司上岗,并转移目前由新任命的外部审计公司提供的任何非审计服务。2021年9月,审计委员会启动了强制外聘审计公司轮换的遴选程序。成立了一个遴选委员会,由审计委员会成员、首席财务官、执行副总裁、财务总监和公司总会计师组成。遴选委员会邀请了其他三家“四大”审计公司(ASML目前的外聘审计师除外)以及一家二级审计公司参与遴选过程。三家四大会计师事务所决定参与遴选过程。经过一系列面谈和两轮陈述后,参与的事务所有机会介绍自己和他们的审计建议,
遴选委员会根据某些预先定义的遴选标准对公司进行评估。这些因素包括专家的计划参与程度、与审计伙伴和审计团队的匹配程度、审计方法的创新程度、高科技行业的经验、质量和参考评级、审计公司的国际网络、入职战略、审计费用的竞争力以及受邀审计公司提供的提案文件和介绍。遴选委员会的结论是,德勤会计师事务所(Deloitte)是首选的审计公司,普华永道会计师事务所(PwC)为亚军。不幸的是,监事会在接到德勤的通知后,需要撤回对德勤的提名,因为他们无法及时完成,从而解决了涉及ASML持有股权的公司的冲突咨询角色。监事会立即重新启动遴选程序,并于2022年4月宣布,普华永道已被确定为2025年报告年度ASML外聘审计师的首选审计公司。我们打算提交2025年报告年度任命普华永道在ASML 2023年年度股东大会上投票的提案。
其他话题
审计委员会在2022年讨论的其他议题包括ASML的税务规划、财务和IT转型计划、ESG报告要求以及法律事项的季度概述。
审计委员会还对其议事规则进行了年度审查和更新。
在大多数审计委员会会议之后,内部和外部审计师都会在管理层不在场的情况下与审计委员会会面,讨论他们对审计委员会应注意的事项的看法。这可能包括它们与审计委员会的关系、与管理委员会的关系以及认为有必要讨论的任何其他事项。审计委员会还定期与首席财务官举行一对一会议。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 181 |
| 监事会委员会(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | |
| 推选及提名委员会 | |
| | | | | | | | | | |
| 遴选和提名委员会协助监事会履行其在监事会和管理委员会的组成和运作以及监测公司治理发展方面的职责。 | | | | 经常性议程主题 | | 出席率 | |
| | | –管理委员会的作用、组成和运作 –监事会的作用、组成和职能 –公司治理 | | 除遴选及提名委员会成员外,两位主席及人力资源执行副总裁亦定期应邀出席(部分会议)。于认为有需要时,亦邀请外聘顾问出席甄选及提名委员会会议。 | |
| | | | | | | | |
| 成员: | | 主要职责: | | | | |
| –Gerard Kleisterlee(主席) –安妮特·阿里斯 –马克·杜尔坎 –特里·凯利 | | –制定监事会和管理委员会成员的甄选标准和任命程序,监督管理委员会关于高级管理人员甄选和任命标准的政策; –定期评估管理委员会和监事会的范围和组成,并提出监事会的概况; –定期评估管理委员会和监事会及其个别成员的运作情况; –准备监事会关于任命和重新任命管理委员会成员的决定,并提议(重新)任命监事会成员; –监测和讨论公司治理的发展情况。 | | | | |
| | | | | |
| | | | | | | |
| | | | | | | |
| | | | 下文概述提供甄选及提名委员会于二零二二年会议上讨论的议题详情。 | |
| | | | | | | | |
| 根据纳斯达克上市规则,每位成员均为我们监事会的独立非执行成员。 | | | | H1 | | H2 | |
| | | | –管理委员会的组成,包括多样性方面和要求以及继任管道 –重新任命管理委员会成员 –监事会的概况和组成及其委员会的组成 –委任监事会成员的提名 –新监事会成员入职计划 –管理委员会和监事会议事规则修正案 –公司章程的修订 –监事会和各委员会的评估结果 –管理委员会和个别成员的表现 | | –管理委员会的组成,包括多样性方面和要求,以及继任管道 –根据2023年股东周年大会,拟委任Wayne Allan为管理委员会成员 –监事会的概况和组成 –根据2023年股东周年大会提名任命Nils Andersen和Jack de Kreij为监事会成员 –对监事会和委员会的评估,包括对监事会评估建议的后续行动以及2022年评估的方法 | |
| 于二零二二年,甄选及提名委员会提名Nils Andersen及Jack de Kreij于二零二三年股东周年大会上获委任为监事会成员。 | | | | | | |
| | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 182 |
| 监事会委员会(续) | | | | |
管理委员会的组成、作用和责任
于二零二二年,甄选及提名委员会投入大量时间讨论管理委员会(未来)的组成、角色及职责。例如,我们审查了人才库,并讨论了顶级人才的职业发展,为未来管理委员会的角色做好准备。委员会还评估了管理委员会及其个别成员的运作情况。为此目的,与管理委员会的每一位成员进行了讨论,并与委员会讨论了讨论结果。
于二零二二年股东周年大会上,Peter Wennink、Martin van den Brink、Roger Dassen、Christophe Fouquet及Frédéric Schneider—Maunoury获重新委任为管理委员会成员。Peter Wennink和Martin van Den Brink被再次任命,任期两年。Roger Dassen、Christophe Fouquet和Frédéric Schneider—Maunoury被任命为四年。10月19日,我们宣布有意任命执行副总裁兼首席战略采购及采购官Wayne Allan为管理委员会成员,于2023年股东周年大会生效。在这一任命之后,管理委员会将扩大到6名成员。这一预期任命背后的理由是战略采购和采购干事职能对ASML战略的战略重要性增加。
甄选及提名委员会与监事会正持续讨论管理委员会的继任规划。
监事会的组成、作用和职责
甄选和提名委员会花了大量时间讨论监事会的组成、概况和轮换时间表,特别是监事会成员的任命和重新任命,以填补短期和长期空缺。经讨论,其中包括决定自二零二二年股东周年大会起将监事会成员人数增加至九名。之所以延期,是因为监事会认为最好增加一名具有半导体技术和半导体工业背景和经验的成员。鉴于ASML的规模和复杂性不断增长,以及鉴于监事会的轮换时间表,这一点被视为特别重要。有关监事会组成的实际变动,请参阅本年报有关监事会组成的章节。
甄选及提名委员会亦讨论根据二零二三年股东周年大会生效之监事会组成变动。甄选及提名委员会就提名Gerard Kleisterlee及Rolf—Dieter Schwalb的继任者向监事会提供意见,后者将于2023年股东周年大会上退休,已于监事会任职八年。
更多内容请阅读:
监事会报告—会议和出席情况—组成。
2022年监事会委员会的变动
甄选及提名委员会亦根据Hans Stork的退休及An Steegen及Alexander Everke的任命讨论了监事会委员会的组成。根据二零二二年股东周年大会,监事会委员会组成的若干变动生效。Alexander Everke于Hans Stork退休后成为薪酬委员会成员。在技术委员会中,汉斯·斯托克被安·斯蒂根接替。
更多内容请阅读:
监事会报告—会议和出席情况—组成。
2022年底及2023年初,遴选提名委员会讨论了监事会个别成员的运作情况以及监事会自我评估的过程及结果。
更多内容请阅读:
监事会报告—会议和出席情况—评价。
公司治理
为履行监察企业管治发展的职责,遴选及提名委员会讨论(其中包括)修订《公司章程》及《管理委员会及监事会议事规则》。此外,遴选和提名委员会还讨论了2022年1月1日生效的荷兰性别多样性法案的发展情况及其对ASML的影响。 委员会还讨论了《荷兰公司治理守则》的修正案以及投资者和股东组织关心的事项。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 183 |
| 监事会委员会(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | |
| 技术委员会 | |
| | | | | | | | | | |
| 技术委员会为监事会提供建议 关于需要执行的技术计划 我们的商业战略。 | | | | 经常性议程专题(季刊) | | 出席率 | |
| | | –产品路线图 –进步技术领导力指数 | | 除技术委员会成员外,委员会的外部和内部顾问定期出席委员会会议。顾问们没有投票权。 | |
| | | | | | | | | |
| 成员: | | 主要职责: | | | 2022年技术委员会会议 | | |
| –马克·杜尔坎(主席) –安妮特·阿里斯 –杰拉德·克莱斯特利 –安斯蒂根 | | –就技术趋势、潜在替代战略、技术战略、产品路线图、所需技术资源和研发运营业绩提供建议; –就ASML的竞争地位向监事会提出与技术有关的项目的建议;以及 –讨论为衡量短期和长期绩效而设定的技术目标以及与这些目标相关的成就,并就此向薪酬委员会提供建议。 | | | 一般而言,技术委员会每年至少召开两次会议,并在认为有必要时更频繁地开会。2022年,技术委员会召开了五次会议。 | | |
| | | | | | | |
| | | | | | | | |
| 技术委员会得到外部专家以及来自ASML内部的专家的支持,他们担任审查和讨论的主题的顾问。外部专家可能包括客户、供应商和合作伙伴的代表,以增加委员会对开发我们的尖端系统所需的技术和研究的了解。 | | | | 下面的概述提供了有关期间讨论的主题的详细信息 2022年技术委员会会议。 | |
| | | | | | | |
| | | | Q1 | | Q3 | |
| | | | –业务线回顾:应用 –制定2022年的技术目标 | | –业务线回顾:EUV(包括High-NA) –下一个EUV | |
| | | | Q2 | | Q4 | |
| | | | –发展与工程部述评 –参观荷兰阿姆斯特丹的纳米光刻高级研究中心 | | –逻辑与记忆中的路线图 –业务线回顾:DUV | |
| | | | | | | | |
| 2022年第二季度,技术委员会访问了荷兰阿姆斯特丹的纳米光刻高级研究中心。 | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 184 |
| 监事会委员会(续) | | | | |
审查技术计划
与往年一样,2022年技术委员会的主要重点是审查EUV 0.55 NA(High-NA)、EUV 0.33 NA、DUV和Applications中技术计划和路线图的执行和实施情况。在这方面,从业务角度和技术角度对主要挑战和机遇进行了审查和深入讨论。在每次会议期间,技术委员会还讨论了技术领导指数所列技术目标的进展情况,技术领导指数是管理委员会短期和长期浮动薪酬的业绩衡量标准。年初,技术委员会在专门为此目的举行的一次会议上讨论了技术指标的最终成果。在同一次会议上,为新的业绩周期设定了新的技术目标。技术委员会随后向薪酬委员会和监事会提供了咨询意见。
第一季度的会议致力于应用程序业务线内的成就。技术委员会听取了2021年成就的回顾,并了解了2027年的路线图、市场发展、竞争格局和这方面的机会。此外,还提供了关于计算光刻、光学计量、电子束计量和控制以及数据产品的最新资料。
在第二季度,会议的主要焦点是ASML的开发和工程部门,包括其研究部门。此外,还介绍了ASML中的系统工程以及这对产品和技术路线图的贡献。会议在阿姆斯特丹的纳米光刻高级研究中心(ARCNL)举行。除了介绍ARCNL如何工作以及如何与ASML合作外,技术委员会还通过ARCNL在阿姆斯特丹的设施向技术委员会提供了一次参观。
第三季度会议的主要焦点是EUV 0.33 NA和EUV 0.55 NA(High-NA)的成就和挑战,包括对EUV 0.33 NA最大风险和机遇的广泛讨论。特别关注了总体路线图、市场发展和EUV现场表现以及新产品开发的状况。技术委员会了解了客户对High-NA的兴趣和参与度、客户插入路线图和节点要求以及如何管理供应链挑战。此外,还向技术委员会提供了关于EUV 0.55 NA(High-NA)以外的可能性和景观的意见。
在第四季度,技术委员会邀请IMEC就逻辑和存储器的长期器件路线图发表看法,随后详细讨论了器件路线图对光刻路线图的影响。此外,技术委员会还讨论了DUV的发展和成就。除了产品路线图和技术计划外,技术委员会还了解了产品战略和服务战略。此外,委员会还注意到成熟的产品和服务业务线以及相关的挑战和机遇。
技术委员会深入的技术讨论以及随后向全体监事会报告这些讨论的要点增加了监事会对我们技术要求的了解。它还使监事会能够充分监督我们面临的战略选择,包括我们在研发方面的投资。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 监事会报告续 | 战略报告 | 治理 | 金融类股 | 185 |
| 财务报表和利润分配 | | | | |
管理委员会编制的ASML 2022财政年度财务报表已由毕马威会计师事务所审计。管理委员会和监事会的所有成员都签署了这些财务报表。
我们建议股东采纳2022年的财务报表。我们还建议我们的股东采纳管理委员会的建议,支付每股普通股1.69欧元的末期股息。加上2022财政年度支付的中期股息,每股普通股股息总计4.11欧元,2022财年每股普通股股息总额为5.80欧元。
最后,我们要对管理委员会和所有ASML员工表示感谢,感谢他们在这充满挑战的一年里继续致力于工作和辛勤工作。
监事会,
Gerard Kleisterlee,主席
安妮特·阿里斯,副主席
比尔吉特CONIX
马克·杜尔坎
沃伦·伊斯特
亚历山大·埃弗克
特里·凯利
罗尔夫-迪特尔-施瓦布
安斯蒂根
维尔德霍温,2023年2月15日
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | |
| ASML2022年度报告 | 薪酬报告 | | 战略报告 | 治理 | 金融类股 | 186 |
| 薪酬报告 | | 薪酬委员会主席的贺词 | | | |
| | | | | | | | |
| | |
| 公平和均衡的薪酬是我们的首要任务,今年我们致力于提高奖励管理层的透明度,以吸引合适的人才。 | |
| | |
|
|
|
总体而言,ASML的领导层从高标准出发,制定了雄心勃勃的目标,并能够解决和应对许多挑战。 |
特里·凯利 |
薪酬委员会主席 |
尊敬的利益相关者:
本人谨代表薪酬委员会提呈2022年度薪酬报告,该报告概述了管理委员会及监事会的薪酬政策。以下各页解释该等政策于二零二二年的应用情况。
从个人的角度来看,我担任主席的第一年充满挑战,但非常愉快。在整个过程中,我非常感谢内部和外部利益攸关方给予我的支持。年内,委员会努力与尽可能多的利益攸关方接触。我们对薪酬方面的主要问题,尤其是披露及激励方面的问题有了良好的了解,这使我们能够制定公平均衡的薪酬政策。
广泛支持
我们正密切监察新政策的影响,以确保其取得预期效果,目前尚处于初期阶段。我们与股东和股东代表的初步接触显示,他们普遍支持。特别是,他们赞赏增加了披露,并赞赏监事会建议采取何种方式来解决围绕较高薪酬水平的敏感问题。
然而,一些股东对我们吸引和留住合适人才的能力表示担忧,因为ASML的高级管理人员薪酬方案与我们在全球人才竞争中竞争的公司相比。此外,一些股东对长期激励(LTI)绩效指标的目标水平提出质疑,特别是相对总股东回报和员工敬业度。我们根据此反馈修改了员工敬业度目标的门槛水平。
此外,虽然劳资议会承认我们面对招聘方面的挑战,并在多方面对管理委员会的薪酬政策持积极态度,但它对包括短期奖励在内的一些事项仍有所保留—例如,工务委员会关注到,内部因素,如工序效率和雇员状况,科学、技术和创新法没有明确考虑到存在问题,也没有关于内部和社会公平的明确标准。于二零二二年全年,薪酬委员会及工务议会继续就该等议题进行建设性接触。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 187 |
| 薪酬委员会主席的贺词(续) | | | | |
多事之秋,一支强大的球队
在过去的12个月里,ASML在一支出色的团队的支持下不断壮大,他们在不确定的时期再次团结起来,为我们的公司和客户做最好的事情。
激励ASML员工的一个关键因素是有机会从事有意义的工作并产生影响。在一个日益数字化的世界里,ASML开展的工作最终使创新企业能够通过在医疗保健到农业、互联互通到气候变化等领域带来新的机遇来改变我们所有人的生活方式。
尽管如此,公平及均衡的薪酬必须始终是薪酬委员会的首要任务,而我们于年内一直持续与持份者接触,不仅在二零二二年股东周年大会上提出新ASML薪酬政策之前,而且在其后的多个月内。
在我担任主席期间,我致力于确保参与和合作继续成为ASML薪酬委员会的标志。
薪酬委员会的变动
于二零二二年股东周年大会上,Hans Stork辞去薪酬委员会及监事会职务,本人谨此感谢彼过往多年所作出的贡献。
我们很高兴Alexander Everke于二零二二年股东周年大会后成为薪酬委员会成员。薪酬委员会的组成必须保持适当的平衡,以推动对ASML的业务环境有深入和广泛的了解。亚历山大带来了宝贵和广泛的技能从业务和运营的角度。我们已经从他的经验和投入中受益,并期待着今后继续与他密切合作。
2022年作出的决定:我们的新薪酬政策
于2022年第一季度,我们完成了对 管理委员会的薪酬政策。薪酬委员会及监事会认为,修订管理委员会的薪酬政策是适当的,因为上一次重大修订于二零一七年进行,仅对薪酬水平作出轻微修订,主要与短期奖励及长期奖励计划有关。自2017年以来,ASML已经大幅增长,我们的运营环境也发生了变化。经修订的管理委员会薪酬政策已提交2022年股东周年大会,获93. 18%支持采纳。
于回顾期间,我们借此机会探讨了现时的市场惯例、持份者的意见、社会趋势及期望,以及企业管治的发展。我们亦请管理委员会成员就拟议的修订提出意见。
主要变动载于二零二二年薪酬政策变动管理层委员会薪酬一节。
薪酬透明度
二零二一年财政年度之薪酬报告已提呈二零二二年股东周年大会以作咨询表决。84.59%的选票赞成。作为进一步提高透明度的一部分,我们增加了事前披露2023年的STI指标,以及事前披露2023—2025年业绩期间的长期指数指标和目标水平。我们还继续披露实际成就水平。敏感资料的披露不符合ASML或我们股东的利益。
展望
制定适当的薪酬政策是一个不断演变的过程,于二零二二年,薪酬委员会继续评估薪酬政策本身及ASML经营环境的不断变化。我们的重点仍然是确保我们有正确的激励措施,并使用正确的指标。只有这样,我们才能推动正确的行为和正确的结果。
这一进程将在来年继续进行。我们在薪酬政策方面有一定程度的灵活性,使管理委员会的指标与推动策略的重要性保持一致,并提高了在薪酬与策略之间建立重要联系的能力。我们在提高透明度方面已取得长足进展,并承诺在可行的情况下作出进一步改变,以提高透明度。
于二零二三年,我们亦将继续与所有持份者及外部顾问接触,确保我们的决策考虑最佳常规、持份者意见及更广泛的社会观点。此外,我们将继续与管理委员会成员接触,收集彼等对薪酬的意见。
最后,本人谨此感谢薪酬委员会其他成员于过去一年的支持。我们已共同为管理委员会制定薪酬政策,我相信该政策在未来一段时间内将对我们有利。在未来一年,我们将努力继续这一持续进程。
特里·凯利
薪酬委员会主席
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 188 |
| 薪酬一目了然 | | | | |
| 薪酬是激励和留住合适人才的重要工具,以继续, 发展我们的技术。 | | | | |
| | | | | | | | | | | |
| 我们的2022年绩效薪酬原则支持长期成功和可持续价值 |
| | | |
| 竞争力 | | 薪酬结构和水平旨在在相关劳动力市场中具有竞争力,同时考虑到社会趋势和观念。 |
| 对齐 | | 薪酬政策与ASML高级管理层及其他ASML雇员的短期及长期奖励政策一致,并考虑内部相关性。 |
| 长期导向 | | 政策和激励措施侧重于可持续和长期的价值创造。 |
| 合规性 | | ASML采用良好的公司治理的最高标准。 |
| 简单性和透明度 | | 政策及其执行尽可能简单,所有利益相关者都易于理解。 |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | | | |
| 将薪酬与目标和战略挂钩 | |
| | | | | | | | | | | | |
| 目的 | | | 战略 | | | 激励 措施 | | | 付钱 性能 | |
| | | | | | | | | | | | |
| 通过将技术推向新的极限来释放人和社会的潜力。 | | | | 加强 客户信任 | | | 财务措施 | | | 报酬 结果 | |
| | | | | | | | | | |
| | | | 整体光刻技术及其应用 | | | 客户导向 | | | |
| | | | DUV 竞争力 | | | | | | |
| | | | | | 技术领先地位 | | | |
| | | | EUV 工业化 | | | | | |
| | | | | | | | | |
| | | | 高na | | | 在以下方面领先 ESG可持续性 | | | |
| | | | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| 我们在2022年的表现如何 |
| | | | | | | | |
| 财务(基于美国公认会计原则) | | | | | 非金融类 |
| | | | | | | | |
| 212亿欧元 | | 107亿欧元 | | 65亿欧元 | | | 8.1 |
| 总销售额 | | 毛利 | | 营业收入 | | | 技术领导力指数得分 |
| (2021:186亿欧元) | | (2021:98亿欧元) | | (2021:68亿欧元) | | | (2021: 8.0) |
| | | | | | | | |
| 85亿欧元 | | €14.14 | | 48.2% | | | 10.8% |
| 经营活动提供的净现金 | | 赚取 每股 | | ROAIC(非公认会计原则衡量标准)1 | | | 道琼斯可持续发展指数 |
| (2021:108亿欧元) | | (2021: €14.36) | | (2021: 34.2%) | | | (2021: 12.1%) |
1.ROAIC(非GAAP衡量标准)基于三年平均值,所得税后收入除以平均投资资本。平均投资资本是以总资产减去现金、短期投资、流动负债和
三年内每个季度开始和结束时的长期合同负债。我们相信ROAIC是一个有意义的衡量标准,因为它量化了我们在过去三年中相对于我们业务投入的资本产生回报的有效性。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 189 |
| 薪酬一览表(续) | | | | |
| | | | | |
|
我们的目标是使管理委员会的总薪酬与我们的业务保持一致 通过组合实施战略 固定工资和短期和长期- 长期激励,以延伸绩效目标为基础。 |
| |
| €17.0m |
| 总薪酬 |
| |
| 99.1% |
| 实现科技创新目标 |
| |
| 182.2% |
| 实现LTI目标 |
| |
| 34:1 |
| CEO与平均每FTE (根据美国公认会计原则) |
. | | | | | |
| 管理委员会 |
| |
| 彼得·T.F.M.温宁克 |
| 2022年薪酬总额(千美元) |
| €4,280 |
| |
| 马丁·范·登·布林克 |
| 2022年薪酬总额(千美元) |
| €4,279 |
| |
| 弗雷德里克·J·M·施耐德-毛努里 |
| 2022年薪酬总额(千美元) |
| €2,844 |
| |
| 罗杰·J.M.达森 |
| 2022年薪酬总额(千美元) |
| €2,834 |
| |
| 克里斯托夫·D·福凯 |
| 2022年薪酬总额(千美元) |
| €2,798 |
| | | | | | | | | | | |
| 2022年利益相关者参与 | |
| | | |
| 在2022年期间,我们与大股东和其他利益攸关方进行了磋商。薪酬委员会还征求了管理委员会的意见。 | |
| | | |
| 股东 | | |
| 满足的组织数量 | 10 | |
| 会议次数 | 10 | |
| 已发行股本所占百分比 | 22% | |
| | | |
| 股东代表 和代理顾问 | | |
| 满足的组织数量 | 3 | |
| 会议次数 | 3 | |
| | | |
| 劳资关系局 | | |
| 满足的组织数量 | 1 | |
| 会议次数 | >5 | |
| | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 190 |
| 薪酬委员会 | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | | | |
| 薪酬委员会 | |
| | | | | | | | | | |
| | | | | | | | | | |
| 薪酬委员会就管理委员会和监事会的薪酬问题向监事会提出建议,并编制监事会决议。 | | | | 经常性议程专题(季刊) | | 出席率 | |
| | | –管理委员会的薪酬 –监事会的薪酬 –短期和长期奖励措施目标执行情况的最新情况
| | 除薪酬委员会成员外,薪酬委员会一般会邀请首席执行官、人力资源执行副总裁、薪酬及福利主管以及在某些情况下还邀请首席财务官出席(部分会议)。薪酬委员会之外聘顾问亦于认为有需要时应邀出席薪酬委员会会议。 | |
| | | | | | | | |
| 成员: | | 主要职责: | | | | |
| –Terri Kelly(主席) –安妮特·阿里斯 –亚历山大·埃弗克 –罗尔夫-迪特尔-施瓦布 | | –监督管理委员会薪酬政策的制定和实施,并制定监事会薪酬政策; –审查并向监事会提出与管理委员会薪酬可变部分有关的公司目标和目标; –在向监事会提出这些公司目标和目标供其批准之前,对实现这些目标以及超过这些目标的可变薪酬可能产生的财务结果进行设想分析;以及 –根据这些目标和目标评估管理委员会成员的业绩,并根据评估结果向监事会建议管理委员会成员的适当薪酬水平。 | | | | |
| | | | | | |
| | | | | | |
| 根据纳斯达克上市规则,每位成员均为我们监事会的独立非执行成员。凯利女士既不是我们管理委员会的前成员,也不是另一家公司的管理委员会成员。目前,薪酬委员会的成员均为另一家荷兰上市公司的管理委员会成员。 | | | | | | | |
| | | | | | | |
| | | | 以下概述提供薪酬委员会于二零二二年会议上讨论的议题的详情。 | |
| | | | | | | |
| | | | Q1 | | Q3 | |
| | | | –短期激励计划:2021年绩效、2021年支出和2022年目标 –长期激励计划:股份归属绩效期2019—2021年,有条件授予和目标绩效期2022—2024年 –2021年薪酬报告 –薪酬委员会的自我评估 –管理委员会薪酬政策审查,包括利益攸关方外联 –遵守股份所有权规定 | | –进度STI和LTI目标和指标 –客户导向指标 –政策和报告的最新趋势 –关于与劳资理事会互动的报告 –2023年管理委员会薪酬,包括STI和LTI指标的选择 | |
| | | | | | Q4 | |
| | | | | | –进展STI和LTI目标 –2023年管理委员会薪酬,包括STI和LTI指标的选择 –监事会薪酬基准 –企业管治最新发展:薪酬 –聘用外聘审计员执行商定的薪酬程序 –2022年薪酬报告草稿 –合规管理委员会成员拥有股权准则 –2023—2024年度股东大会期间股份规划 | |
| | | | | | |
| | | | Q2 | | |
| | | | –不开会 | | |
| 委员会将继续监测管理委员会的业绩,并就薪酬水平提出建议。 | | | | | |
| | | | | |
| | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 191 |
| 薪酬委员会(续) | | | | |
管理委员会的薪酬
于2022年第一季度,薪酬委员会完成对管理委员会薪酬政策的基本检讨。在此过程中,薪酬委员会得到外部薪酬顾问的支持。在向股东大会建议修订管理委员会的薪酬政策前,薪酬委员会已广泛咨询股东、股东代表及其他持份者,包括ASML Netherlands B. V的WorkCouncil。
2022年4月29日,监事会根据薪酬委员会的建议,向股东大会提议修改《管理委员会薪酬政策》。经修订政策已于二零二二年股东周年大会上采纳。与过往薪酬政策比较之主要变动概要载于本薪酬报告内。
薪酬委员会就管理委员会的总薪酬方案以及可变薪酬(包括现金STI和股份长期奖励)向监事会提出建议。薪酬委员会向监事会提出管理委员会浮动薪酬的2022年目标。年内,薪酬委员会密切监察管理委员会的表现。它向监事会提供了关于实现2022年目标和管理委员会成员的相关薪酬水平的建议。
薪酬委员会与审计委员会和技术委员会密切合作,就公司目标和管理委员会成员可变薪酬的目标提出和评估管理委员会的业绩。
于二零二二年底,我们对管理层委员会薪酬水平进行了轻微检讨。由于2022年的科技创新及长期投资达到目标水平低于2022年薪酬政策所允许的最高达到目标水平,薪酬委员会希望确定2023年该等达到目标水平是否合适。本次审查的结果是,监事会决定将总裁的科技创新达标率从95%提高到105%,非总裁的科技创新达标率从90%提高到95%。对于长期指数,增长率将从160%增加到170%。
薪酬委员会已注意到管理委员会个别成员对彼等薪酬金额及结构的意见。
薪酬委员会已审阅管理局成员之股权状况,以评估是否遵守管理局薪酬政策所载之股权指引。
薪酬委员会亦编制薪酬报告,详细列明监事会及管理委员会成员的薪酬。
提高薪酬透明度
于二零二二年,我们与持份者接触时,收到有关薪酬报告的宝贵意见,尤其是有关进一步提高薪酬透明度的意见。我们已考虑该反馈,因此,我们已于二零二二年薪酬报告中实施多项变动。 例如,我们现在包括选定的STI指标和选定的长期指标和目标水平的事前披露(如果这不违反ASML的战略和/或商业利益)。
薪酬委员会已委聘外聘核数师执行若干协定程序,有关管理委员会就二零二二年短期奖励计划及二零二零年至二零二二年表现期间的长期奖励计划所呈报的表现。
监事会的薪酬
监事会之现行薪酬政策已于二零二一年股东周年大会上获股东大会采纳。于二零二二年,薪酬委员会讨论政策及报告的最新趋势,并执行每两年一次的监事会薪酬基准。根据本次检讨结果,我们拟于二零二三年股东周年大会上提交对监事会薪酬政策进行部分调整的建议。该建议将载于二零二三年三月刊发之二零二三年股东周年大会召开通告内。
薪酬委员会亦审阅了薪酬报告,其中详述监事会成员的薪酬。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 192 |
| 管理委员会的薪酬 | | | | |
于薪酬报告的本节,我们概述管理委员会的薪酬政策,该政策于二零二二年四月二十九日获股东大会采纳,并自二零二二年一月一日起适用。本报告亦载有有关执行管理委员会薪酬政策的资料,以及管理委员会成员于二零二二财政年度的实际薪酬详情。管理委员会之薪酬政策可于本公司网站之管治一栏查阅。
薪酬政策
薪酬作为战略手段
管理委员会2022年薪酬政策支持ASML在高度动态环境下的策略、长期利益及可持续发展,同时旨在满足所有持份者的要求及保持可接受的风险状况。ASML面临的挑战比以往任何时候都更大,是推动技术发展、服务客户和满足利益相关者。这些驱动因素根植于ASML及其附属企业的身份、使命和价值观,是管理委员会2022年薪酬政策的支柱。监事会确保管理委员会2022年薪酬政策及其实施与ASML的目标相关联。实现这一目标的一个直接方法是确定业绩计量,并设定与我们的短期和长期目标挂钩的可变薪酬目标。 更间接地,我们希望确保我们的2022年
管理委员会的薪酬政策使ASML能够吸引、激励及挽留合资格的行业专业人士加入管理委员会,以界定及实现我们的策略目标。这反映在我们努力确定旨在在相关劳动力市场具有竞争力的薪酬结构和薪酬水平,同时了解社会趋势和看法。因此,管理委员会的2022年薪酬政策确认了内外部环境以及我们的业务需要和长期策略。
管理委员会2022年薪酬政策旨在鼓励专注于长期价值创造以及ASML的长期利益和可持续发展的行为,同时采用最高标准的良好企业管治。管理委员会2022年薪酬政策旨在鼓励管理委员会成员取得卓越业绩,同时采用非财务及财务表现衡量标准,以及适当的基本薪金与浮动薪酬比率。技术领先、客户价值创造及员工参与是股东可持续回报的主要驱动因素。
薪酬原则
ASML适用于所有员工的薪酬理念包括ASML希望在相关劳动力市场中具有竞争力,并在这些市场中支付公平的薪酬,同时保持内部一致性,反映个人责任的规模和复杂性差异。监事会对ASML的管理委员会适用相同的原则,并在制定管理委员会薪酬政策时考虑ASML员工的薪酬和就业条件。利益相关者对ASML适用的管理委员会薪酬政策的支持程度(包括社会的支持)对我们非常重要,并在制定政策的各个要素时予以考虑。监事会在修订《管理委员会薪酬政策》时,考虑了公司经营的外部环境、相关法律规定和《荷兰企业管治守则》的规定、竞争性的市场惯例以及代表机构股东的组织发布的指引。监事会薪酬委员会与各利益攸关方广泛接触,以了解他们的观点。该等持份者包括ASML的股东、股东利益组织、委托顾问及ASML Netherlands B.V..我们于二零二二年股东周年大会上获得对经修订薪酬政策的高度支持,获得93.18%的赞成票。委员会被要求就拟议的修订薪酬政策提供咨询意见。工务委员会的立场是,它不完全支持拟议的修订,并有一些严重的关注。劳资委员会和监事会代表团
在2022年期间继续就这一主题进行对话。利益相关者反馈的详细概述发布在ASML的网站(asml.com/agm2022)上。根据《荷兰企业管治守则》,管理委员会成员被要求就其本身薪酬的拟议修订发表意见。此外,还获得了一名外部薪酬专家的咨询意见。
管理委员会2022年薪酬政策基于以下原则:
–竞争力:薪酬结构和水平应在相关劳动力市场上具有竞争力,同时考虑到社会趋势和观念;
–对齐:该政策与ASML高级管理层和其他ASML员工的短期激励和/或长期激励政策保持一致,并考虑到内部相关性;
–长期方向:政策和奖励措施侧重于可持续和长期的价值创造;
–合规:ASML采用最高标准的良好公司治理;以及
–简单和透明:政策及其执行尽可能简单,所有利益相关者都易于理解。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 193 |
| 管理委员会薪酬(续) | | | | |
参考群体和市场定位
与ASML所有员工的薪酬理念相似,我们的目标是为管理委员会成员提供与相关劳动力市场相比具有竞争力的薪酬方案。为了定义这个市场,我们创建了一个参考小组,由在规模和复杂性、行业或业务概况、数据透明度和地理区域方面与ASML相当的公司组成。咨商小组可包括荷兰和国际公司,管理委员会成员可能会被征聘到或从这些公司招聘。
只要ASML定位在集团公司规模(以企业价值、收入和雇员人数衡量)和复杂性方面的中位数附近,市场中位数水平可作为决定管理委员会薪酬水平的参考。
由于ASML是一家总部位于荷兰的公司,监事会还考虑了公司在荷兰运营的外部环境,并进一步考虑了竞争性的市场惯例以及代表荷兰机构股东的组织发布的指导意见,并决定2022年的薪酬政策不跟随长期激励(LTI)的(高)国际市场水平并将长期奖励的最高目标上限定为基薪的200%。这意味着上述市场中位数水平的参考将仅用于现金薪酬(即基本工资和短期奖励,因为长期奖励将有上限)。
由于ASML拥有双重总裁,并认为两位总裁对公司具有同等的权重和重要性,监事会决定延续公司长期以来的惯例,即两位总裁的相关基准参考水平是劳动力市场数据中CEO级别和管理委员会其他成员的平均水平,而不是仅以CEO数据为基准。对于管理委员会的其他成员,监事会采用了管理委员会所有非首席执行官成员的平均数作为相关参考,而不是对管理委员会成员进行区分。
原则上,管理委员会薪酬基准每两年进行一次。在没有进行市场评估的年度,监事会考虑到市场环境以及其他ASML员工的薪酬调整,考虑基本工资的任何变动是否合适。为确保相关劳动力市场的适当组成,监事会在制定基准时审查参考小组的组成。参考小组的组成可能会因收购交易、合并或其他公司活动而调整。将向股东建议适用于参考小组组成的重大变动。
| | | | | | | | | | | |
目前的参考小组由以下公司组成: |
目前参照组的组成 | |
| 专注于长期技术/工业工程/研发的欧洲公司 | 半导体制造企业 | 半导体设备公司 |
| abb | 博通 | 应用材料 |
| 空中客车 | 英特尔 | LAM研究 |
| 达索系统 | 高通 | |
| 英飞凌科技 | | |
| 林德 | | |
| 美敦力 | | |
| 诺华公司 | | |
| 恩智浦半导体 | | |
| 飞利浦 | | |
| 罗氏 | | |
| 思爱普 | | |
| 施耐德电气 | | |
| 壳 | | |
| 西门子 | | |
| 西门子医疗集团 | | |
| | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 194 |
| 管理委员会薪酬(续) | | | | |
总直接薪酬
薪酬水平是使用现金薪酬总额(TCC)确定的。TCC包括基本工资和STI形式的可变薪酬。TCC增加了一个有上限的LTI,这两个部分一起构成了总的直接补偿。
基本工资
《2022年管理委员会薪酬政策》规定了一个仅适用于TCC一级的基准。管理委员会成员的基本工资是从这个TCC级别计算出来的。实际基本工资和年度加薪将在薪酬报告中报告。管理委员会2022年报告年度的基本工资在表“管理委员会薪酬总额”中披露。
可变薪酬
可变补偿由STI和LTI组成。业绩指标由监事会制定,由财务和非财务指标组成,以便在短期和长期的不同公司目标之间实现最佳平衡。我们确保浮动薪酬对本公司的策略、长期利益及可持续发展作出贡献。监事会可根据ASML Holding N.V.管理委员会2022年薪酬政策中概述的规则和原则调整绩效指标及其可变收入的相对权重,如果任何一年的战略优先事项有所改变,监事会在绩效考核结束时评估绩效指标达到的程度,od.
管理委员会的2022年薪酬政策载有为达到目标表现而设定的STI及LTI的最高水平。如果ASML在参考组中的相对定位至少等于中位数(就大小而言),则可以实现这些最大水平。2022年,目标科技创新水平较低,即总裁为95%,管理委员会其他成员为90%,与薪酬政策设计时在参考组中的定位略低于中位数(按规模计算),并逐步过渡至新政策水平。基于同样原因,管理委员会全体成员于二零二二年的长期所得税目标水平为基薪的160%。
监事会如认为业绩期内因特殊情况导致结果不合理,有权向上或向下调整奖励支出。
已就可变薪酬部分的可能结果及其对管理委员会薪酬的影响进行情景分析。
下表列示管理委员会在业绩达到目标情况下的浮动薪酬占基薪的百分比。
| | | | | | | | | | | |
最大可变补偿(达标) | 市场参考 | 浮动薪酬占基薪的百分比(最高) | 2022年浮动薪酬占基薪的百分比(达到目标) |
短期激励 | 根据ASML在参比组中的相对位置确定,上限为第50百分位数 | 总统:120% 其他成员:100% | 总统:95% 其他成员:90% |
长期激励 | 最高达到目标的长期收入上限为基薪的200% | 200.0 | % | 160.0 | % |
总计 | | 总统:320% 其他成员:300% | 总统:255% 其他成员:250% |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 195 |
| 管理委员会薪酬(续) | | | | |
2022年薪酬政策摘要管理委员会
管理委员会2022年薪酬政策的要素及其与ASML策略的联系概述如下。
2022年薪酬政策摘要
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | | | |
基座 工资 | + | STI 现金红利 | + | LTI 以股份为基础 激励措施 | + | 退休金及其他福利 | = | 总计 报酬 |
| | | | | | | | | | | | | | | | | |
| | | | | |
| 固定报酬(基本工资) | |
| | | | | |
| 链接到战略/基本原理 | | | 2022年政策 | |
| | | | | |
| 吸引、激励和保留合格的行业专业人士进入管理委员会,以确定和实现战略目标。 | | | 基准 | |
| | | –由20家最相关的技术和研发型公司组成,包括ASML的人才竞争对手和业务同行和(间接)客户 –参考组中公司的构成考虑了ASML的地理位置—欧洲公司(75%权重)和美国公司(25%权重)的权重 | |
| | | | | |
| | | | | |
| STI(现金奖金) | | | | |
| | | | | |
| 链接到战略/基本原理 | | | 2022年政策 | |
| | | | | |
| 确保平衡关注ASML的短期(财务)业绩,以及公司在技术进步和客户满意度方面的可持续未来,推动长期成功。 | | | –最高目标STI:董事长基本工资的120%,董事长其他成员100% –2022年目标STI:总裁基本工资的95%,其他董事会成员基本工资的90% | |
| | | | |
| | | 各个STI绩效指标的权重如下: | |
| | | –60%的财务 –20%的技术领先指数 –20%的客户导向 | |
| | | | | |
| | | | | | | | | | | | | | | | | |
| LTI(股权激励) | |
| | | | | |
| 链接到战略/基本原理 | | | 2022年政策 | |
| | | | | |
| 通过平衡反洗钱投资者的直接利益、反洗钱的长期财务成功、技术进步的长期持续以及可持续性的环境和社会层面的业绩衡量,促进反洗钱的战略、长期利益和可持续性。 | | | 最高目标LTI:上限为基本工资的200% 2022年目标LTI:基本工资的160% | |
| | | | |
| | | 各个LTI性能指标的权重如下: | |
| | | | |
| | | –30%相对TSR –20-30%ESG测量;2022年权重:20% –2022年技术领先指数;2022年权重:20% –20%-30%的战略价值驱动因素;2022年权重:30% | |
| | | | | |
| | | | | |
| 固定薪酬的其他要素(养恤金和其他福利) | |
| | | | | |
| 链接到战略/基本原理 | | | 2022年政策 | |
| | | | | |
| 有助于提高整体薪酬方案的竞争力,并与市场惯例保持一致。 | | | –基于荷兰ASML雇员的‘Exedent’(补充)安排的养恤金安排--固定缴款计划 –费用偿还,如公司汽车费用、差旅费用、代表津贴、住房费用(税前毛数)、社会保障费用以及健康和残疾保险费用 | |
| | | | | |
| | | | | |
| 股份所有权准则 | | | | |
| | | | | |
| 链接到战略/基本原理 | | | 2022年政策 | |
| | | | | |
| 管理委员会成员最低股份拥有量的要求。确保管理委员会成员的利益与ASML的长期价值创造保持一致。 | | | –总裁的年薪是基本工资的三倍,其他董事会成员的年薪是基本工资的两倍 –新会员须遵守的5年期限 –监事会有权在特殊情况下允许临时偏离 –任何不足之处都将通过下一次股票归属来弥补 | |
| | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 196 |
| 管理委员会薪酬(续) | | | | |
2022年薪酬政策变化
| | | | | | | | | | | | | | | | | | | | | | | |
| 薪酬基准 | |
| | | | | | | |
| 参照组 | | 2021年政策 | | | 2022年政策 | |
| | | –由来自不同行业的类似规模的欧洲公司组成 | | | –由20家最相关的技术和研发型公司组成,包括ASML的竞争对手 企业同行和(间接)客户 –参照组中公司的构成 考虑到ASML的地理位置—它的权重是欧洲公司(75% 部分美国公司(25%权重) | |
| | | | | | | |
| | | | | | | |
| 激励水平 | |
| | | | | | | |
| | | 2021年政策 | | | 2022年政策 | |
| STI | | –目标:80%的基本工资(总裁和管理局其他成员) | | | –分阶段将总裁基本工资的80%提高到基本工资的120%,其他管理局成员则提高到100% | |
| | | | | | | |
| LTI | | –目标:120%的基本工资(主席和其他管理局成员) | | | –主席和其他管理局成员的基本工资从120%分阶段提高到200% | |
| | | | | | | |
| | | | | | | | | | | | | | | | | | | | | | | |
| 绩效衡量标准 | |
| | | | | | | |
| | | 2021年政策 | | | 2022年政策 | |
| STI | | 单个绩效指标的权重: | | | 单个绩效指标的权重: | |
| | –60%的财务 –20%的技术领先指数 –20%的市场地位 | | | –60%的财务 –20%的技术领先指数 –20%的客户导向 | |
| | | | | | | |
| LTI | | –与PHLX指数相比,门槛派息为-20% –(门槛支出为目标的50%) | | | –建议将绩效激励区域调整为基于百分比的相对TSR排名方法,而不是固定范围 –减少了授予级别的派息,25%的绩效为目标的25% | |
| | 单个绩效指标的权重: | | | 单个绩效指标的权重: | |
| | –40%ROAIC –30%相对TSR –20%的技术领先指数 –10%的可持续性 | | | –30%的战略价值驱动因素 –30%相对TSR –20%的技术领先指数 –20%ESG | |
| | | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 197 |
| 管理委员会薪酬(续) | | | | |
2022年董事会的薪酬
管理委员会2022财政年度的薪酬是执行并遵守《管理委员会2022年薪酬政策》,具体说明如下。因此,管理委员会2022年的薪酬有助于实现《2022年管理委员会薪酬政策》的目标,并因此促进了本组织旨在创造长期价值的战略。对可变薪酬构成部分的可能结果及其对管理委员会薪酬的影响进行了情景分析。
基本工资
管理委员会成员的基本工资于2022年初确定。监事会决定,与2021年的水平相比,2022年的基本工资不再增加,因为与参照组相比,基本工资被认为具有竞争力。关于2022年的基本工资水平,请参阅管理委员会总薪酬一节.
2022年短期激励
科技创新的财务和非财务目标水平是根据《2022年管理委员会薪酬政策》并考虑到2022年年度计划(预测)在2022年财政年度开始时确定的。修订管理委员会薪酬政策的理由包括替换某些STI指标,这一点包含在ASML Holding N.V.董事会2022年薪酬政策中。
对于科技创新,监事会选择了以下财务业绩指标,考虑到ASML在2022年的业务挑战和环境:
–息税前利润%,衡量运营收入占总净销售额的百分比
此外,以下非财务绩效指标适用于2022年的STI:
–客户导向:该指标由四个同等权重的子目标组成,衡量ASML在市场中的定位及其在客户体验、客户满意度和质量方面的表现。子目标是:YeldStar和HMI Single Beam的应用市场份额,因为这是ASML面临激烈竞争的应用市场细分市场;根据2022年的供需情况,DUV在系统方面的产量;NXE:3600D工具的EUV可用性,这是反映我们工具在客户现场的性能质量的关键指标,因此被认为是衡量客户满意度的合适指标;以及总体客户满意度,这是使用外部基准:VLSI调查来衡量的。
–电子音乐逻辑领导指数:与ASML的产品和技术路线图相关的一组内部目标。该指数衡量ASML在相关业绩期间取得的技术进步,支持我们推动创新的努力,从而帮助我们的客户实现目标并实现新技术和应用。2022年技术领先指数由以下几项组成F应用、DUV和EUV方面的18个重点项目。其中,这些项目涉及检查和计量系统的改进、以每天晶圆数表示的制造能力、降低成本的部件通用性以及(EUV)光源的功率。由于从竞争和战略的角度来看,这将对公司及其利益相关者不利,因此没有披露包括在技术领导力指数中的关键项目的确切细节。为了计算技术领导力指数绩效,每个项目的得分在1到10之间;总体技术领导力指数得分是18个个人得分的平均值。B类其他STI和LTI使用技术领导指数作为定性的绩效衡量标准。两者的目标是相同的,但适用的措施、目标和业绩周期不同,并与具体的短期和长期战略优先事项保持一致。
在业绩期间结束后,监事会与技术委员会、审计委员会和薪酬委员会等相关小组委员会合作,对照目标评估所取得的业绩。下表列出了科技创新绩效标准的目标和实际成就水平。排除具有商业或战略敏感性的信息。监事会认为披露这一信息不符合反洗钱法及其利益攸关方的利益。考虑到透明度,我们以目标百分比的形式报告这些指标的绩效。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 198 |
| 管理委员会薪酬(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
| 绩效目标1 | 实际结果 | 派息2 |
重量 | 阀值 | 目标 | 伸长 | | 目标百分比 |
息税前利润(%)(非GAAP衡量标准) | 60% | 33% | 35% | 37% | 34.5 | % | 88.1 | % |
| | | | | | |
客户导向 | 20% | | | | | 105.0 | % |
由下列同等权重的次级目标组成: | | | | | | |
应用程序市场份额 | 5% | * | 120.0 | % |
DUV输出(系统) | 5% | * | — | % |
EUV可用性 | 5% | * | 150.0 | % |
VLSI客户调查 | 5% | 前5名 | 前3名 | 前2名 | 前2名 | 150.0 | % |
| | | | | | |
技术领先指数 | 20% | 4 | 6 | 10 | 8.1 | | 126.3 | % |
| | | | | | |
总计 | 100% | | | | | 99.1 | % |
1.由于战略或商业敏感性,某些业绩目标(*)未予披露。
2.支出百分比是根据管理委员会《2022年薪酬政策摘要》中包含的支出水平计算的。
2022年息税前利润率%(非公认会计准则计量)为30.7%,其计算方法为经营收入65.01亿欧元除以总净销售额211.73亿欧元。
监事会对STI财务业绩指标息税前利润率%结果进行了快速发货调整。这一决定背后的理由是,快速发货是应客户要求而改变的业务模式;管理委员会决定满足客户的要求,因为这被认为是符合ASML及其利益相关者利益的最佳决定,尤其是考虑到全球芯片短缺的情况。然而,快速发货导致收入确认的延迟,因此对息税前利润率%产生负面影响。考虑到上述情况,监事会决定将这些快速发货的息税前利润率%结果正常化。由于快速出货导致收益确认延迟的调整导致EBIT利润率%为34. 5%,而STI支出总额为目标% 99. 1%,而未经调整的比率为46. 3%。
客户绩效的构成发生了变化,因为DUV现在是根据系统的输出来衡量的。其他次级目标的表现与去年相若。
技术领导力指数的实际结果为8.1,与去年的表现一致。
当前管理委员会的STI总结果导致现金支出380万欧元,占目标的99.1%。
短期奖励期2023
2023年,监事会决定采用以下STI绩效指标:
| | | | | |
| 重量 |
息税前利润(%)(非GAAP衡量标准) | 60% |
| |
客户导向 | 20% |
由下列同等权重的次级目标组成: | |
应用程序市场份额 | 5% |
DUV输出(系统) | 5% |
EUV可用性 | 5% |
TechInsights(f.k.a. VLSI)客户调查 | 5% |
| |
技术领先指数 | 20% |
| |
总计 | 100% |
在设定2023年业绩指标EBIT利润率%的目标水平时,监事会假设快速出货的收入确认时间与2022年相同,符合快速出货适用的2022年标准化。如果会计处理方式发生任何变化,这将不再导致收入确认延迟,监事会打算相应提高息税前利润率%目标水平。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 199 |
| 管理委员会薪酬(续) | | | | |
2022年管理层薪酬—长期激励
2022年获授长期激励2022—2024年计划
于2022年初,19,105股业绩股份已就2022—2024年长期投资业绩计划授予管理委员会现任成员。这些有条件补助金是基于最大可实现机会。
2022年初,监事会根据薪酬委员会的建议,选定了用于衡量ASML在战略价值驱动因素和ESG可持续性方面的绩效指标。监事会还制定了与所有绩效指标相关的目标水平,
2022—2024年LTI计划,如下所示。在此过程中,考虑了长期产品路线图、ESG目标和长期财务计划,从而确保各种目标与ASML的长期战略重点保持一致,并鼓励注重长期价值创造的行为。修订管理委员会薪酬政策(包括更换若干长期指数指标)的理据载于ASML Holding N. V管理委员会2022年薪酬政策。
就二零二二年至二零二四年长期奖励计划而言,根据管理委员会的二零二二年薪酬政策,以下表现指标适用:
–总股东回报率与指数(PSR):衡量ASML股价的相对变化,加上相关业绩期间支付的股息。股息回报乃按(i)表现期最后一个季度的平均(收市)股价与(ii)表现期前一个季度的平均(收市)股价两者之差额计算;在计算中,股息于除息日期再投资。ASML的TSR(以ASML纽约股票计算)与PHLX半导体行业指数进行比较。该纳斯达克指数旨在跟踪一系列从事半导体设计、分销、制造和销售的公司的业绩。该指数有两个版本,价格回报指数和总回报指数,后者已被选择(纳斯达克股票代码:X. SOX),因为该指数是现金股利再投资,等同于上文所述的TSC定义。
–战略价值驱动因素:标准化的三年平均现金转换率是一项措施,以确保关注资产负债表和现金产生,除了关注已成为2022年STI一部分的利润率(通过包括息税前利润率)。标准化现金转换率百分比是通过标准化自由现金流(非GAAP衡量标准)除以净收入计算的三年平均值。自由现金流量是一种非公认会计原则的计量方法,定义为经营活动提供的净现金减去购买物业、厂房和设备以及购买无形资产。标准化自由现金流(非GAAP计量)是自由现金流(非GAAP计量),不包括在某个财政年度从客户收到的提前付款,而该财政年度没有合同付款义务。
–技术领先指数:也适用于科技创新的定性衡量标准。作为LTI的一个指标,技术领导力指数比STI指数更具有前瞻性。它包括三年前、两年前和来年要实现的目标。每年都为今后三年确定新的目标。今后两年的目标是根据上一年的目标(当时是提前三年)和一个分数的修正系数(向上或向下),这取决于目标似乎是更容易实现还是更难实现。随后各年也采用同样的办法。三年绩效期内的技术领导力指数总得分是三年内得分的平均值,包括每年得分所用的相关修正因子。
–ESG:一个由三个同等权重的子目标组成的定性测量:(1)每一次晶圆通过的EUV能源使用,(2)员工参与度和(3)13+工作级别中女性员工的百分比。
| | | | | | | | | | | | | | |
性能指标 | 绩效目标 |
重量 | 阀值 | 目标 | 极大值 |
相对TSR | 30% | 低四分位数 | 中位数 | 高四分位数 |
| | | | |
标准化三年平均现金转换率%1 | 30% | 80.0% | 90.0% | 95.0% |
| | | | |
ESG措施 | 20% | | | |
包括: | | | | |
每晶圆通过的EUV能量消耗 | | 7.0千瓦时 | 6.5千瓦时 | 6.0千瓦时 |
员工敬业度 | | X2—4%点 | X2—3%点 | X2 |
JG13+中女性代表的百分比 | | 10% | 12% | 14% |
| | | | |
技术领先指数 | 20% | 4 | 6 | 10 |
| | | | |
总计 | 100% | | | |
1.标准化三年平均现金转换率%(CCR)是通过标准化自由现金流(非GAAP衡量标准)除以净收入(三年平均值)计算得出的。自由现金流(非公认会计原则衡量)通过排除在某个财政年度从客户收到的提前付款而在该财政年度没有合同付款义务。
2.X =前25%的公司。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 200 |
| 管理委员会薪酬(续) | | | | |
根据2020—2022年长期激励计划归属
于二零二零年至二零二二年三年绩效期结束后,监事会与技术委员会、审核委员会及薪酬委员会合作,对照长期绩效指标评估所取得的绩效。根据管理委员会2020年薪酬政策,适用于LTI 2020—2022计划的绩效指标为相对总股东回报与指数、平均投资资本回报率(ROAIC)、技术领先指数及可持续发展。根据管理委员会之薪酬政策,长期绩效标准之目标及实际达成水平载于下表。
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| 绩效目标 | 实际执行情况 | 派息百分比2 | |
性能指标 | 重量 | 阀值 | 目标 | 超过 | 伸长 | | | |
相对TSR | 30% | (20%) | 0% | 不适用 | 20% | 41.4% | 200% | |
ROAIC1 | 40% | 29.5% | 31.0% | 32.5% | 34.0% | 48.2% | 200% | |
技术领先指数 | 20% | 4 | 6 | 8 | 10 | 8.3 | 158.3% | |
可持续性 | 10% | ≤13.5% | ≤11% | 不适用 | ≤6% | 10.8% | 104.9% | |
| | | | | | | | |
总计 | 100% | | | | | | 182.2% | 3 |
1.ROAIC(非GAAP衡量标准)基于三年平均值,所得税后收入除以平均投资资本。平均投资资本是以总资产减去现金、短期投资、流动负债和
三年内每个季度开始和结束时的长期合同负债。我们相信ROAIC是一个有意义的衡量标准,因为它量化了我们在过去三年中相对于我们业务投入的资本产生回报的有效性。
2.支付百分比是根据2020年薪酬政策管理委员会所包括的支付水平计算的。
3.182.2%的总实际绩效得分是基于单个绩效指标的权重乘以支出百分比。
LTI的总结果导致目标的182.2%的股份归属。
LTI计划2023-2025
2023年初,有条件地向管理委员会现任成员发放了28,604股业绩股票,用于2023-2025年LTI业绩计划。这些有条件赠款是基于2023年可实现的最大机会。
在2023-2025年业绩期间,监事会决定采用以下LTI业绩衡量标准和目标设定:
| | | | | | | | | | | | | | |
| 绩效目标 |
性能指标 | 重量 | 阀值 | 目标 | 极大值 |
相对TSR | 30% | 根据薪酬政策 |
| | | | |
归一化三年平均现金转换率%1 | 30% | 85% | 90% | 95% |
| | | | |
ESG措施 | 20% | | | |
包括: | | | | |
净零排放(范围1+2),最低补偿 | | | | |
员工敬业度 | | X2- 4%点 | X2- 2%点 | X2 |
总流入和JG9+女性流入 | | 22% | 24% | 26% |
| | | | |
技术领先指数 | 20% | 4 | 6 | 10 |
| | | | |
总计 | 100% | | | |
1.标准化三年平均现金转换率%(CCR)是通过标准化自由现金流(非GAAP衡量标准)除以净收入(三年平均值)计算得出的。自由现金流(非公认会计原则衡量)通过排除在某个财政年度从客户收到的提前付款而在该财政年度没有合同付款义务。
2.X =前25%的公司。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 201 |
| 管理委员会薪酬(续) | | | | |
其他报酬
2022年,管理委员会成员参与管理委员会的退休金安排,该安排是基于“额外”(补充)安排的。我们在荷兰的雇员的离职机会,根据荷兰财政法规的规定,这是一个确定的供款机会。它包括养恤金总额部分(工资低于约115,000欧元)和养恤金净额部分(工资高于115,000欧元)。一些成员选择不领取养恤金净额,因为荷兰以外的税收待遇不同。 详细信息:发生的会计费用 2022年退休金安排的适用情况见总薪酬管理委员会表。
ASML于2022年报销的开支包括公司汽车费用、代表津贴、社会保障费用以及健康及伤残保险费用。
股份所有权准则
下表载列于二零二二年十二月三十一日各管理委员会成员的股权指引、已发行归属股份数目及股权比率。 管理委员会所有成员于二零二二年年底均遵守最低拥有权指引。
| | | | | | | | | | | | | | |
管理委员会 | 所有权准则 | 2022年基本工资(千欧元) | 已发行股份数目 | 所有权比例1 |
P.T.F.M.温宁克 | 3x基数 | 1,020 | | 38,047 | | 18.79 | |
M.A.范登布林克 | 3x基数 | 1,020 | | 11,923 | | 5.89 | |
F.J.M.施耐德-毛努里 | 2x基数 | 694 | | 17,903 | | 13.00 | |
R.J.M.达森 | 2x基数 | 694 | | 15,549 | | 11.29 | |
C.D.福凯 | 2x基数 | 694 | | 6,470 | | 4.70 | |
1.股权比例的计算方法是将已发行既有股份的数量乘以 €503.80(基于2022年12月30日的收盘价),并除以基本工资。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 202 |
| 管理委员会薪酬(续) | | | | |
薪酬共计
根据2022年、2021年和2020年发生的会计费用计算的管理委员会成员薪酬如下(金额以千欧元为单位):
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
董事会成员 管理 | 金融 年 | 基本工资 | 养老金 | 其他好处 | 固定合计 | 固定百分比 | STI | LTI | 总变量 | %变量 | 总薪酬 | 固定与可变的相对比例 |
P.T.F.M.温宁克 | 2022 | 1,020 | | 206 | | 58 | | 1,284 | | 30.0 | % | 961 | | 2,035 | | 2,996 | | 70.0 | % | 4,280 | | 0.43 |
| 2021 | 1,020 | | 206 | | 57 | | 1,283 | | 26.6 | % | 1,098 | | 2,439 | | 3,537 | | 73.4 | % | 4,820 | | 0.36 |
| 2020 | 1,020 | | 216 | | 57 | | 1,293 | | 28.3 | % | 1,135 | | 2,136 | | 3,271 | | 71.7 | % | 4,564 | | 0.40 |
M.A.范登布林克 | 2022 | 1,020 | | 206 | | 57 | | 1,283 | | 30.0 | % | 961 | | 2,035 | | 2,996 | | 70.0 | % | 4,279 | | 0.43 |
| 2021 | 1,020 | | 206 | | 56 | | 1,282 | | 26.6 | % | 1,098 | | 2,439 | | 3,537 | | 73.4 | % | 4,819 | | 0.36 |
| 2020 | 1,020 | | 216 | | 57 | | 1,293 | | 28.3 | % | 1,135 | | 2,136 | | 3,271 | | 71.7 | % | 4,564 | | 0.40 |
F.J.M.施耐德-毛努里 | 2022 | 694 | | 141 | | 36 | | 871 | | 30.6 | % | 619 | | 1,354 | | 1,973 | | 69.4 | % | 2,844 | | 0.44 |
| 2021 | 694 | | 115 | | 36 | | 845 | | 26.8 | % | 747 | | 1,566 | | 2,313 | | 73.2 | % | 3,158 | | 0.37 |
| 2020 | 694 | | 122 | | 36 | | 852 | | 29.1 | % | 773 | | 1,302 | | 2,075 | | 70.9 | % | 2,927 | | 0.41 |
R.J.M.达森 | 2022 | 694 | | 116 | | 51 | | 861 | | 30.4 | % | 619 | | 1,354 | | 1,973 | | 69.6 | % | 2,834 | | 0.44 |
| 2021 | 694 | | 115 | | 51 | | 860 | | 22.6 | % | 747 | | 2,193 | | 2,940 | | 77.4 | % | 3,800 | | 0.29 |
| 2020 | 694 | | 100 | | 51 | | 845 | | 22.2 | % | 773 | | 2,186 | | 2,959 | | 77.8 | % | 3,804 | | 0.29 |
C.D.福凯 | 2022 | 694 | | 78 | | 53 | | 825 | | 29.5 | % | 619 | | 1,354 | | 1,973 | | 70.5 | % | 2,798 | | 0.42 |
| 2021 | 694 | | 78 | | 52 | | 824 | | 26.3 | % | 747 | | 1,566 | | 2,313 | | 73.7 | % | 3,137 | | 0.36 |
| 2020 | 694 | | 83 | | 51 | | 828 | | 27.8 | % | 773 | | 1,374 | | 2,147 | | 72.2 | % | 2,975 | | 0.39 |
管理委员会总理事会 | 2022 | 4,122 | | 747 | | 255 | | 5,124 | | 30.1 | % | 3,779 | | 8,132 | | 11,911 | | 69.9 | % | 17,035 | | 0.43 |
| 2021 | 4,122 | | 720 | | 252 | | 5,094 | | 25.8 | % | 4,437 | | 10,203 | | 14,640 | | 74.2 | % | 19,734 | | 0.35 |
| 2020 | 4,122 | | 737 | | 252 | | 5,111 | | 27.1 | % | 4,589 | | 9,134 | | 13,723 | | 72.9 | % | 18,834 | | 0.37 |
| | | | | | | | | | | | |
作为LTI(股票奖励)的一部分报告的薪酬是基于会计价值项下发生的成本。股票奖励的成本在三年归属期内根据预期授予非市场要素的奖励数量计入综合经营报表。对于头两年,我们采用可实现的最大股票奖励数量,并在奖励的最后业绩年度,将非市场表现条件的估计更新为预期授予的最佳估计奖励数量。基于可实现股份奖励数目的最佳估计的金额与基于归属的实际股份奖励数量的金额之间的任何差额,在股份奖励归属的财政年度的综合经营报表中计入。以市场为基础的要素在目标上进行了核算。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 203 |
| 管理委员会薪酬(续) | | | | |
薪酬总额前管理委员会
范豪特于2021年从ASML退休,不再是管理委员会的成员。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
前管理委员会 | 金融 年 | 基本工资 | 养老金 | 其他好处 | 固定合计 | 固定百分比 | STI | LTI | 总变量 | %变量 | 总薪酬 | 固定与可变的相对比例 |
范豪特1 | 2021 | 231 | | 47 | | 16 | | 294 | | 11.4 | % | 243 | | 2,036 | | 2,279 | | 88.6 | % | 2,573 | | 0.13 |
| 2020 | 694 | | 122 | | 47 | | 863 | | 29.4 | % | 773 | | 1,302 | | 2,075 | | 70.6 | % | 2,938 | | 0.42 |
1.F.J. van Hout的二零二一年薪酬总额不包括本公司根据荷兰工资税法第32bb条就解雇福利向荷兰税务机关应付的估计税项。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 204 |
| 管理委员会薪酬(续) | | | | |
基于股份的支付
管理委员会现任成员按表现以股份为基础的薪酬披露于下表。为报告目的,零碎股份会向下舍入至全部股份。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | 基于市场的要素 | 非市场化要素 | | | | | | |
董事会成员 管理 | 授予日期 | 状态 | 完全控制 | 目标股份数 | 授予日的公允价值 | 目标股份数 | 授予日的公允价值 | 目标股份总数 | 最大股份总数(200%) | 归属日期 | 于公布日期已归属股份数目 | 归属年度年终收市股价 | 禁售日期结束 |
P.T.F.M.温宁克 | 4/29/22 | 有条件的 | 不是 | 709 | | 596.0 | | 1,655 | | 533.5 | | 2,364 | | 4,727 | | 1/1/25 | 不适用 | 不适用 | 1/1/27 |
| 1/22/21 | 有条件的 | 不是 | 1,053 | | 635.6 | | 2,455 | | 454.9 | | 3,508 | | 7,016 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
| 1/24/20 | 无条件的 | 不是 | 1,387 | | 286.9 | | 3,235 | | 263.7 | | 4,622 | | 9,245 | | 1/1/23 | 8,420 | | 503.8 | 1/1/25 |
| 7/19/19 | 无条件的 | 不是 | 2,217 | | 245.4 | | 5,173 | | 194.4 | | 7,390 | | 14,780 | | 1/1/22 | 13,326 | | 706.7 | | 1/1/24 |
| 1/19/18 | 无条件的 | 不是 | 1,958 | | 215.1 | | 4,570 | | 162.8 | | 6,528 | | 13,056 | | 1/19/21 | 9,566 | | 439.9 | | 1/19/23 |
| | | | | | | | | | | | | |
M.A.范登布林克 | 4/29/22 | 有条件的 | 不是 | 709 | | 596.0 | | 1,655 | | 533.5 | | 2,364 | | 4,727 | | 1/1/25 | 不适用 | 不适用 | 1/1/27 |
| 1/22/21 | 有条件的 | 不是 | 1,053 | | 635.6 | | 2,455 | | 454.9 | | 3,508 | | 7,016 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
| 1/24/20 | 无条件的 | 不是 | 1,387 | | 286.9 | | 3,235 | | 263.7 | | 4,622 | | 9,245 | | 1/1/23 | 8,420 | | 503.8 | 1/1/25 |
| 7/19/19 | 无条件的 | 不是 | 2,217 | | 245.4 | | 5,173 | | 194.4 | | 7,390 | | 14,780 | | 1/1/22 | 13,326 | | 706.7 | | 1/1/24 |
| 1/19/18 | 无条件的 | 不是 | 1,958 | | 215.1 | | 4,570 | | 162.8 | | 6,528 | | 13,056 | | 1/19/21 | 9,566 | | 439.9 | | 1/19/23 |
| | | | | | | | | | | | | |
F.J.M. 施耐德-毛努里 | 4/29/22 | 有条件的 | 不是 | 483 | | 596.0 | | 1,126 | | 533.5 | | 1,609 | | 3,217 | | 1/1/25 | 不适用 | 不适用 | 1/1/27 |
1/22/21 | 有条件的 | 不是 | 717 | | 635.6 | | 1,670 | | 454.9 | | 2,387 | | 4,774 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
| 1/24/20 | 无条件的 | 不是 | 858 | | 286.9 | | 2,001 | | 263.7 | | 2,859 | | 5,718 | | 1/1/23 | 5,208 | | 503.8 | 1/1/25 |
| 7/19/19 | 无条件的 | 不是 | 1,371 | | 245.4 | | 3,198 | | 194.4 | | 4,569 | | 9,137 | | 1/1/22 | 8,239 | | 706.7 | | 1/1/24 |
| 1/19/18 | 无条件的 | 不是 | 1,125 | | 215.1 | | 2,626 | | 162.8 | | 3,751 | | 7,502 | | 1/19/21 | 5,496 | | 439.9 | | 1/19/23 |
| | | | | | | | | | | | | |
R.J.M.达森 | 4/29/22 | 有条件的 | 不是 | 483 | | 596.0 | | 1,126 | | 533.5 | | 1,609 | | 3,217 | | 1/1/25 | 不适用 | 不适用 | 1/1/27 |
| 1/22/21 | 有条件的 | 不是 | 717 | | 635.6 | | 1,670 | | 454.9 | | 2,387 | | 4,774 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
| 1/24/20 | 无条件的 | 不是 | 858 | | 286.9 | | 2,001 | | 263.7 | | 2,859 | | 5,718 | | 1/1/23 | 5,208 | | 503.8 | 1/1/25 |
| 7/19/19 | 无条件的 | 不是 | 1,371 | | 245.4 | | 3,198 | | 194.4 | | 4,569 | | 9,137 | | 1/1/22 | 8,239 | | 706.7 | 1/1/24 |
| 1/25/19 | 无条件的 | 不是 | 3,000 | | 169.0 | | 7,000 | | 148.3 | | 10,000 | | 20,000 | | 1/1/22 | 18,032 | | 706.7 | 1/1/24 |
| 7/20/18 | 无条件的 | 不是 | 657 | | 274.6 | | 1,531 | | 185.0 | | 2,188 | | 4,376 | | 1/19/21 | 3,207 | | 439.9 | 1/19/23 |
C.D.福凯 | 4/29/22 | 有条件的 | 不是 | 483 | | 596.0 | | 1,126 | | 533.5 | | 1,609 | | 3,217 | | 1/1/25 | 不适用 | 不适用 | 1/1/27 |
| 1/22/21 | 有条件的 | 不是 | 717 | | 635.6 | | 1,670 | | 454.9 | | 2,387 | | 4,774 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
| 1/24/20 | 无条件的 | 不是 | 858 | | 286.9 | | 2,001 | | 263.7 | | 2,859 | | 5,718 | | 1/1/23 | 5,208 | | 503.8 | 1/1/25 |
| 7/19/19 | 无条件的 | 不是 | 1,371 | | 245.4 | | 3,198 | | 194.4 | | 4,569 | | 9,137 | | 1/1/22 | 8,239 | | 706.7 | 1/1/24 |
| 7/20/18 | 无条件的 | 不是 | 844 | | 274.6 | | 1,969 | | 185.0 | | 2,813 | | 5,626 | | 1/19/21 | 4,122 | | 439.9 | 1/19/23 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 205 |
| 管理委员会薪酬(续) | | | | |
管理委员会前成员以业绩为基础、以股份为基础的薪酬披露于下表。出于报告目的,将零碎股份四舍五入为全额股份。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | 基于市场的要素 | 非市场化要素 | | | | | | |
前管理委员会 | 授予日期 | 状态 | 完全控制 | 目标股份数 | 授予日的公允价值 | 目标股份数 | 授予日的公允价值 | 目标股份总数 | 最大股份总数(200%) | 归属日期 | 于公布日期已归属股份数目 | 归属年度年终收市股价 | 禁售日期结束 |
范豪特 | 1/22/21 | 有条件的 | 不是 | 239 | | 635.6 | | 557 | | 454.9 | | 796 | | 1,592 | | 1/1/24 | 不适用 | 不适用 | 1/1/26 |
| 1/24/20 | 无条件的 | 不是 | 858 | | 286.9 | | 2,001 | | 263.7 | | 2,859 | | 5,718 | | 1/1/23 | 5,208 | | 503.8 | 1/1/25 |
| 7/19/19 | 无条件的 | 不是 | 1,371 | | 245.4 | | 3,198 | | 194.4 | | 4,569 | | 9,137 | | 1/1/22 | 8,239 | | 706.7 | | 1/1/24 |
| 1/19/18 | 无条件的 | 不是 | 1,125 | | 215.1 | | 2,626 | | 162.8 | | 3,751 | | 7,501 | | 1/19/21 | 5,496 | | 439.9 | | 1/19/23 |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | |
| | | | | | | | | | | | | |
授予股份的理由、标准和主要条件
有关向管理委员会各成员授出业绩股份的原因及标准,请参阅本薪酬报告所载管理委员会2022年度薪酬政策概要及管理委员会2022年度薪酬—长期激励一节。
适用于二零二二年业绩股份的主要条件如下。这适用于管理委员会的每一位成员。
| | | | | | | | |
仪器: | | 业绩股 |
格兰特 | | 每年根据最大可实现机会提供有条件补助金。有条件奖励之表现股份数目乃采用有条件奖励前一年最后一季之成交量加权平均股价计算。 |
授予日期 | | 有条件授予绩效股票的日期。 |
执行期间 | | 衡量预定业绩目标实现情况的三年期间。 |
归属 | | 在业绩期间结束后,股票将成为无条件的,这取决于预定业绩目标的实现程度。 |
禁售期 | | 最短持有期为归属日期后两年。 |
| | 合同终止后,转让限制将在持有期内继续有效,除非死亡。 |
| | 如果管理委员会成员就收回的可变收入支付税款,则绩效股票可根据法律和内部法规在归属时部分出售(“出售至覆盖”)。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 206 |
| 管理委员会薪酬(续) | | | | |
会计报酬与公司业绩的关系
下表概述了过去五年入账薪酬与公司业绩之间的关系:
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,千) | 20181 | 变化(以%为单位)1 | 2019 | 变化(以%为单位) | 2020 | 变化(以%为单位) | 2021 | 变化(以%为单位) | 2022 | 变化(以%为单位) |
净销售额 | 10,944,016 | 22 | % | 11,820,001 | 8 | % | 13,978,452 | 18 | % | 18,610,994 | 33 | % | 21,173,448 | 14 | % |
| | | | | | | | | | |
| | | | | | | | | | |
按美国公认会计原则计算的净利润 | 2,591,614 | 25 | % | 2,592,252 | — | % | 3,553,670 | 37 | % | 5,883,177 | 66 | % | 5,624,209 | (4) | % |
| | | | | | | | | | |
| | | | | | | | | | |
按欧盟国际财务报告准则计算的净收入 | 2,525,515 | 16 | % | 2,581,107 | 2 | % | 3,696,813 | 43 | % | 6,134,595 | 66 | % | 6,395,775 | 4 | % |
| | | | | | | | | | |
| | | | | | | | | | |
ASML股价(以欧元计算的阿姆斯特丹泛欧交易所收盘价) | 137.2 | (6) | % | 263.7 | 92 | % | 397.6 | 51 | % | 706.7 | 78 | % | 503.8 | (29) | % |
| | | | | | | | | | |
全职员工的平均薪资员工数 | 18,204 | 20 | % | 22,192 | 22 | % | 24,727 | 11 | % | 28,223 | 14 | % | 33,071 | 17 | % |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
薪酬P.T.F.M.温宁克(首席执行官) | 3,433 | (1) | % | 4,361 | 27 | % | 4,564 | 5 | % | 4,820 | 6 | % | 4,280 | (11) | % |
| | | | | | | | | | |
| | | | | | | | | | |
薪酬M.A.范·登·布林克 | 3,431 | (1) | % | 4,360 | 27 | % | 4,564 | 5 | % | 4,819 | 6 | % | 4,279 | (11) | % |
| | | | | | | | | | |
| | | | | | | | | | |
报酬R.J.M.达森 | 897 | — | | 2,956 | 230 | % | 3,804 | 29 | % | 3,800 | — | % | 2,834 | (25) | % |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
薪酬C.D.福凯 | 1,125 | — | | 2,203 | 96 | % | 2,975 | 35 | % | 3,137 | 5 | % | 2,798 | (11) | % |
| | | | | | | | | | |
| | | | | | | | | | |
薪酬F.J.M.施耐德-莫努里 | 2,169 | (4) | % | 2,724 | 26 | % | 2,927 | 7 | % | 3,158 | 8 | % | 2,844 | (10) | % |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
每个全职员工的平均薪酬2 基于 浅谈美国公认会计原则 | 115 | (2) | % | 114 | (1) | % | 120 | 5 | % | 122 | 2 | % | 125 | 2 | % |
| | | | | | | | | | |
| | | | | | | | | | |
每个全职员工的平均薪酬2基于欧盟-国际财务报告准则 | 115 | (2) | % | 114 | (1) | % | 120 | 5 | % | 122 | 2 | % | 118 | (3) | % |
内部薪酬比率(根据美国公认会计原则,CEO与员工薪酬之比)2 | 30 | — | % | 38 | 27 | % | 38 | — | % | 40 | 5 | % | 34 | (15) | % |
内部薪酬比率(根据欧盟-国际财务报告准则,CEO与员工薪酬之比)2 | 30 | — | % | 38 | 27 | % | 38 | — | % | 40 | 5 | % | 36 | (10) | % |
| | | | | | | | | | |
首席执行官年度薪酬总额增长百分比与所有雇员平均年度薪酬增长百分比的比率3根据美国公认会计原则 | | | | | | | | | (5.5) | 不适用 |
首席执行官年度薪酬总额增长百分比与所有雇员平均年度薪酬增长百分比的比率3基于欧盟-国际财务报告准则 | | | | | | | | | 3.7 | 不适用 |
1.2018年,R.J.M.达森和C.D.福奎的薪酬较低,因为他们在2018年被任命为管理委员会成员。
2.内部薪酬比率的计算方法在CEO与平均薪酬(薪酬比率)的关系一节中披露。
3.首席执行官的年度总薪酬增长百分比与所有员工的平均年薪酬增长百分比的比率是通过将首席执行官薪酬的年增长百分比除以每个全职员工的平均薪酬年增长百分比来计算的。这一比率仅适用于2022年,以符合GRI标准2021。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 207 |
| 管理委员会薪酬(续) | | | | |
解释公司业绩相对于薪酬的变化
上表旨在提供有关本公司过去五年的业绩及薪酬发展的资料。净销售额、净收入和股价是用来衡量公司业绩的指标,因为它们是代表ASML总体业绩的关键指标,而且考虑到与其他公司的可比性。该公司近年来取得了显著增长,这不仅体现在员工数量上,还体现在净销售额方面。自2018年以来,净销售额增长了93%。公司同期的业绩也大幅增长,例如反映在净收益(根据美国公认会计准则自2018年增长117%,根据欧盟国际财务报告准则自2018年增长153%)和ASML股价(增长267%)上。如表所示,与同期薪酬的发展相比,该公司在过去五年的业绩有了更显著的改善。公司的增长促使管理层在2019年、2021年和2022年修订了薪酬政策,导致了更高的基本工资以及更高的STI(目标)和LTI(目标)水平。由于供应链问题和通胀对STI得分的影响,以及2020-2022年LTI计划发行日授予的股份数量减少,2022年管理委员会的总薪酬低于2021年。实际薪酬可能每年波动,这取决于任何一年的实际STI支付,以及任何一年业绩股票(LTI)的归属和当时的股价。
CEO与平均薪酬的关系(薪酬比率)
内部薪酬比率包括首席执行官在2022年期间的总薪酬(包括所有薪酬组成部分)428万欧元,与所有员工的平均薪酬相比。所有雇员的平均薪酬是用全时当值平均工资雇员数(工资和薪金+社会保障费用+养老金和退休费用+股份支付)/平均工资雇员数=41.28亿欧元/33,071欧元=12.5万欧元计算的。这一比率尚未准备好符合美国证券交易委员会规定的薪酬比率披露要求。这一比率是根据由固定和可变薪酬要素组成的会计价值与在公司服务的所有员工的平均薪酬(不包括所有其他董事会成员)相比得出的。此计算方法使比率更符合公司管治守则的要求。
2022年,基于美国公认会计原则的内部薪酬比率(首席执行官与员工薪酬)降至34:1(2021年40:1),以欧盟-国际财务报告准则为基础的内部薪酬比率在2022年降至36:1(2021年40:1)。减少是由于2022年管理层薪酬下降、供应链问题和通胀对STI得分的影响以及2020-2022年LTI计划发行日授予的股份数量减少所致。2022年,员工的薪酬根据CLA和2022年的功绩增长进行了调整。此外,除了将快速发货的STI得分正常化外,2022年员工的STI得分还根据供应链问题和2022年通胀的影响进行了调整,这反映在2022年美国GAAP数据中。ASML打算向公司内所有职位级别的员工提供有竞争力的薪酬。在每一级,薪酬应反映角色的责任。因此,从一个级别到另一个级别的薪酬积累应该是循序渐进的,并与不断增加的责任相一致,也应遵循市场惯例。在最高层,随着责任最终从部门层面上升到整个公司层面,步骤逐渐变得更大。监事会认为,考虑到公司目前的业绩,目前的组建和34:1的整体薪酬比率是公平的。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 208 |
| 监事会薪酬 | | | | |
在薪酬报告的这一部分,我们概述了2021年4月29日股东大会通过的2021年监事会薪酬政策,并从2021年4月1日起生效。它提供了关于2022年监事会2021年薪酬政策执行情况的信息,并包含了2022年监事会成员实际薪酬的细节。2021年监事会薪酬政策可以在我们网站的治理部分找到。
薪酬政策
薪酬目标和原则
2021年监事会薪酬政策旨在使ASML能够吸引和留住合格的监事会成员,他们共同组成一个多元化和平衡的监事会,具有适当水平的技能、能力和经验,以适当监督(执行)ASML的战略,该战略的重点是为所有利益攸关方创造长期价值。
2021年监事会薪酬政策建立在以下原则基础上:
–透明--薪酬政策及其执行是明确和实际的
–调整-薪酬政策以市场惯例为基准
–合规-ASML采用良好的公司治理的最高标准
–简单-薪酬政策及其执行尽可能简单,所有利益相关者都很容易理解
–公平--薪酬应反映监事会成员所花费的时间和职责
–独立-监事会成员的薪酬不得取决于公司的业绩
参考群体和市场定位
监事会的薪酬应与相关参考市场相比具有竞争力。该市场是使用阿姆斯特丹泛欧交易所AEX指数中包含的两层董事会结构的参考集团来定义的。为确定在该组中的定位,将考虑企业价值、收入和雇员人数。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 209 |
| 监事会薪酬(续) | | | | |
本表概述及说明2021年监事会薪酬政策的要素。
| | | | | | | | | | | | | | | | | | | | |
固定报酬 | | | | | |
| | | | | | |
| 描述 | | | 价值 | | |
| | | | | | |
| 基本会员费 | | | 监事会主席 | €130,000 | |
| | | | 监事会副主席 | €94,000 | |
| | | | 监事会成员 | €75,000 | |
| | | | 审计委员会主席 | €25,500 | |
| | | | 审计委员会成员 | €18,000 | |
| | | | 其他委员会主席 | €20,000 | |
| | | | 其他委员会成员 | €14,500 | |
| | | | | | |
| | | | | | |
洲际会议的额外津贴 | | | | | |
| | | | | | |
| 描述 | | | 价值 | | |
| | | | | | |
| 支付与洲际旅行额外时间有关的额外固定津贴 | | | 每次涉及洲际旅行的会议5,000欧元 | | |
| | | | | | |
| | | | | | |
费用 | | | | | |
| | | | | | |
| 描述 | | | 价值 | | |
| | | | | | |
| 与出席会议有关的开支已获偿还。此外,还支付固定净费用津贴,涵盖某些预先确定的实付费用 | | | 视费用水平而定 | | |
| | | 监事会主席 | €1,980 | |
| | | 监事会成员 | €1,380 | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| 贷款和担保 | | | | | |
| | | | | | |
| 描述 | | | 价值 | | |
| 不会发放(个人)贷款或担保或类似的东西 | | | 不适用 | |
| | | | | | |
| | | | | | |
| 股份和股份所有权 | | | | | |
| | | | | | |
| 描述 | | | 价值 | | |
| | | | | | |
| 概无以酬金方式授出股份(权利)。任何持有ASML股份乃作长期投资用途。任何交易活动均受ASML内幕交易规则的约束 | | | 不适用 | |
| | | | | | |
| | | | | | |
| 其他安排 | | | | | |
| | | | | | |
| 描述 | | | 价值 | | |
| | | | | | |
| (重新)根据荷兰法律和ASML的章程任命。没有收回、遣散或控制权变更安排 | | | 不适用 | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 210 |
| 监事会薪酬(续) | | | | |
2022年监事会薪酬
根据过去五年发生的会计费用计算的监事会成员的薪酬概况(金额以千欧元计):
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| 会员费2022 | 委员会费用2022 | 2022年津贴 | 固定比例与可变比例2022 | 2022年薪酬总额 | 2021年薪酬总额 | 2020年薪酬总额 | 2019年薪酬总额 | 2018年薪酬总额 |
G.J. Kleisterlee | 130 | 53 | 7 | 100:0 | 190 | 178 | 157 | 154 | 138 |
A.P.阿里斯 | 94 | 44 | 6 | 100:0 | 144 | 127 | 95 | 98 | 80 |
B.M.CONIX | 75 | 18 | 6 | 100:0 | 99 | 63 | 不适用 | 不适用 | 不适用 |
D.M.杜尔坎 | 75 | 35 | 16 | 100:0 | 126 | 112 | 57 | 不适用 | 不适用 |
华盛顿特区东区 | 75 | 18 | 6 | 100:0 | 99 | 93 | 59 | 不适用 | 不适用 |
T.L.凯利 | 75 | 35 | 16 | 100:0 | 126 | 107 | 88 | 101 | 60 |
R.D.施瓦尔布 | 75 | 40 | 1 | 100:0 | 116 | 113 | 104 | 101 | 88 |
A.F.M.埃弗克 | 50 | 10 | 6 | 100:0 | 66 | 不适用 | 不适用 | 不适用 | 不适用 |
A.L.斯蒂根 | 50 | 10 | 6 | 100:0 | 66 | 不适用 | 不适用 | 不适用 | 不适用 |
总计 | 699 | 263 | 70 | 100:0 | 1,032 | 793 | 560 | 454 | 366 |
1.津贴包括固定费用津贴和洲际会议津贴。
在过去五年中,没有向现任和前任监事会成员发放浮动工资。根据《2021年监事会薪酬政策》规定的薪酬原则,监事会的薪酬与ASML的业绩没有直接联系。
前监事会成员的薪酬
2022年、2021年和2020年前监事会成员薪酬概览(金额以千欧元为单位):
| | | | | | | | | | | | | | | | | | | | | | | |
| 会员费2022 | 委员会费用2022 | 2022年津贴 | 固定比例与可变比例2022 | 2022年薪酬总额 | 2021年薪酬总额 | 2020年薪酬总额 |
J.M.C.斯托克 | 25 | 10 | 5 | 100:0 | 40 | 113 | 100 |
地方检察官格罗斯 | 不适用 | 不适用 | 不适用 | 不适用 | 不适用 | 36 | 117 |
C.M.S.斯密茨·努斯特林 | 不适用 | 不适用 | 不适用 | 不适用 | 不适用 | 31 | 95 |
W.H. Ziebart | 不适用 | 不适用 | 不适用 | 不适用 | 不适用 | 不适用 | 30 |
总计 | 25 | 10 | 5 | | 40 | 180 | 342 |
1.津贴包括固定费用津贴和洲际会议津贴。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 薪酬报告续 | 战略报告 | 治理 | 金融类股 | 211 |
| 薪酬报告-其他资料 | | | | |
其他信息
总薪酬
管理委员会成员的年薪总额2022年,财政部和监事会,包括前成员,总额为1810万欧元(2021年:2330万欧元)。
其他安排
由于管理委员会和监事会的所有成员由ASML Holding N.V.直接支付,其财务由ASML合并的子公司或其他公司没有发放和分配任何薪酬。
没有向管理委员会或监督委员会的成员发放(个人)贷款,也没有向管理委员会和监督委员会的任何成员提供担保或类似的担保。
2022年没有向管理委员会和监事会成员发放遣散费,也没有追回浮动薪酬。
偏差
2022年,执行《2021年监事会薪酬政策》和《2022年管理委员会薪酬政策》的决策过程没有发生任何偏差,也没有出现与《2022年管理委员会薪酬政策》和《2021年监事会薪酬政策》临时偏离的情况。
股东投票权
在2022年年度股东大会上,管理委员会2022年薪酬政策以93.18%的赞成票获得通过。
2021财年的薪酬报告已提交给2022年年度股东大会进行咨询投票。84.59%的人投了赞成票。在薪酬委员会主席在本薪酬报告开头的信息中,我们讨论了我们如何考虑到管理层薪酬方面收到的反馈。
这份薪酬报告将提交给2023年年度股东大会,根据荷兰法律进行咨询投票。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | | 战略报告 | 治理 | 金融类股 | 212 |
| | | | | | | | | | | | | | |
| | | | |
| | 金融类和非金融类 | |
| | | | |
| | 在本节中 | |
| | | | |
| | | 合并财务报表 | |
| | 214 | 独立注册会计师事务所报告 | |
| | 216 | 合并业务报表 | |
| | 217 | 综合全面收益表 |
| | 218 | 合并资产负债表 | |
| | 219 | 合并股东权益报表 | |
| | 221 | 合并现金流量表 | |
| | 222 | 合并财务报表附注 | |
| | | | |
| | | 非财务报表 | |
| | 264 | 独立审计员的保证报告 | |
| | 266 | 关于非财务信息 | |
| | 272 | 非财务指标 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | | 战略报告 | 治理 | 金融类股 | 213 |
| | | | | | | | | | | | | | |
| | | | |
| | 合并财务报表 | |
| | | | |
| | 在本节中 | |
| | | | |
| | 214 | 独立注册会计师事务所报告 | |
| | 216 | 合并业务报表 | |
| | 217 | 综合全面收益表 | |
| | 218 | 合并资产负债表 | |
| | 219 | 合并股东权益报表 | |
| | 221 | 合并现金流量表 | |
| | 222 | 合并财务报表附注 | |
| | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表 | 战略报告 | 治理 | 金融类股 | 214 |
| 独立注册会计师事务所报告 | | | |
| 对股东和监事会 |
ASML Holding N.V.:
关于合并财务报表与财务报告内部控制的意见
我们已审计随附的ASML Holding N.V.及其附属公司的合并资产负债表,(本公司)于2022年12月31日及2021年12月31日,截至2022年12月31日止三年期间各年度的相关合并经营报表、全面收益表、股东权益表、现金流量表及相关附注(统称为综合财务报表)。我们还根据Treadway委员会赞助组织委员会发布的内部控制—综合框架(2013)中确立的标准,审计了公司截至2022年12月31日的财务报告内部控制。
我们认为,上述综合财务报表在所有重要方面都公平地反映了公司截至2022年12月31日和2021年12月31日的财务状况,以及截至2022年12月31日的三年期间每年的经营结果和现金流量,符合美国公认会计原则。此外,我们认为,根据特雷德韦委员会赞助组织委员会发布的《内部控制-综合框架(2013)》中确立的标准,截至2022年12月31日,公司在所有实质性方面保持了对财务报告的有效内部控制。
意见基础
本公司管理层负责编制这些综合财务报表,对财务报告保持有效的内部控制,并对财务报告内部控制的有效性进行评估,包括在随附的管理层关于财务报告内部控制的报告中。我们的责任是就公司的合并财务报表发表意见,并根据我们的审计对公司的财务报告内部控制发表意见。我们是一家在美国上市公司会计监督委员会(PCAOB)注册的公共会计师事务所,根据美国联邦证券法以及美国证券交易委员会和PCAOB的适用规则和法规,我们必须与公司保持独立。
我们是按照PCAOB的标准进行审计的。这些准则要求我们计划和执行审计,以获得合理的保证,以确定合并财务报表是否没有重大错报,无论是由于错误还是欺诈,以及是否在所有重大方面保持了对财务报告的有效内部控制。
我们对合并财务报表的审计包括执行评估合并财务报表重大错报风险的程序,无论是由于错误还是欺诈,以及执行应对这些风险的程序。这些程序包括在测试的基础上审查关于合并财务报表中的金额和披露的证据。我们的审计还包括评价管理层使用的会计原则和作出的重大估计,以及评价合并财务报表的整体列报。我们对财务报告的内部控制的审计包括了解财务报告的内部控制,评估存在重大弱点的风险,以及根据评估的风险测试和评估内部控制的设计和运作有效性。我们的审计还包括执行我们认为在这种情况下必要的其他程序。我们相信,我们的审计为我们的意见提供了合理的基础。
财务报告内部控制的定义及局限性
公司对财务报告的内部控制是一个程序,旨在根据公认的会计原则,为财务报告的可靠性和为外部目的编制财务报表提供合理保证。公司对财务报告的内部控制包括下列政策和程序:(1)关于保存合理详细、准确和公平地反映公司资产的交易和处置的记录;(2)提供合理的保证,即交易被记录为必要的,以便按照公认的会计原则编制财务报表,公司的收入和支出仅根据公司管理层和董事的授权进行;(三)提供合理保证,防止或及时发现可能对财务报表产生重大影响的未经授权收购、使用或处置公司资产。
由于其固有的局限性,财务报告的内部控制可能无法防止或发现错误陈述。此外,对未来期间进行任何有效性评估的预测都有可能因条件的变化而出现控制不足的风险,或者政策或程序的遵守程度可能会恶化。
关键审计事项
下文所述的关键审计事项是指向审计委员会传达或要求传达给审计委员会的当期综合财务报表审计所产生的事项:(1)涉及对综合财务报表具有重大意义的账目或披露;(2)涉及我们特别具有挑战性的、主观的或复杂的判断。关键审计事项的传达不会以任何方式改变我们对综合财务报表的整体意见,我们不会通过传达下面的关键审计事项来就关键审计事项或与之相关的账目或披露提供单独的意见。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表续 | 战略报告 | 治理 | 金融类股 | 215 |
| 独立注册会计师事务所报告(续) | | | | |
收入确认—确定不同的履约义务和总合同对价的分配
诚如综合财务报表附注2所披露,截至2022年12月31日止年度的系统销售净额为154. 303亿欧元。系统的销售通常是根据批量采购协议(VPAs)与客户签订的。这些VPA包含多项履约义务,例如交付货物、安装、保修和培训。一旦该等履约责任被识别,总合约代价(包括折扣、提供免费货品或服务以及可用于未来采购的信贷)分配至履约责任。
我们将收入确认(特别是识别若干自愿协议中的履约责任)以及分配总合同代价(包括折扣、提供免费商品或服务以及可用于未来购买的信贷)视为关键审计事项,因为其本身具有判断性和复杂性。因此,评估公司对已确定的履约义务的判断,特别是对要交付的系统数量的估计,以及将总合同对价分配给这些履约义务需要高度的审计师判断。
以下是我们为处理此关键审计事项而执行的主要程序。我们评估了与关键审计事项有关的若干内部控制的设计并测试了其运作有效性。这包括与VPA评估有关的控制,以识别履约责任、将总合同代价分配至该等履约责任,以及正确应用于个别销售交易。我们通过检查选定的VPAs及相关文件、向本公司相关营运职能部门查询及进行敏感度分析,评估履约责任的识别及总合约代价的分配,以评估将交付的系统估计数量对分配的影响。此外,我们测试了VPAs下的一系列已确认销售交易,并对前期估计进行了追溯审查,以评估管理层估计交付系统数量的能力。最后,我们检查了公司用于将合同对价分配至已识别履约责任的模型的准确性。
毕马威会计师事务所
自2015年以来,我们一直担任本公司的审计师。
荷兰阿姆斯特尔文
2023年2月15日
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表 | 战略报告 | 治理 | 金融类股 | 216 |
| 合并业务报表 | | | | |
| | | | | | | | | | | | | | | | | |
截至2013年12月31日的年度(欧元,单位为百万,不包括每股数据) | 备注 | 2020 | 2021 | | 2022 |
系统净销售额 | | 10,316.6 | | 13,652.8 | | | 15,430.3 | |
净服务和现场选项销售 | | 3,661.9 | | 4,958.2 | | | 5,743.1 | |
总净销售额 | 2, 3 | 13,978.5 | | 18,611.0 | | | 21,173.4 | |
| | | | | |
系统销售成本 | | (5,169.3) | | (6,482.9) | | | (7,582.3) | |
服务成本和现场选项销售 | | (2,012.0) | | (2,319.1) | | | (2,891.0) | |
销售总成本1 | | (7,181.3) | | (8,802.0) | | | (10,473.3) | |
| | | | | |
毛利 | | 6,797.2 | | 9,809.0 | | | 10,700.1 | |
| | | | | |
研发成本 | | (2,200.8) | | (2,547.0) | | | (3,253.5) | |
销售、一般和行政费用 | | (544.9) | | (725.6) | | | (945.9) | |
其他收入 | 10 | — | | 213.7 | | | — | |
营业收入 | | 4,051.5 | | 6,750.1 | | | 6,500.7 | |
| | | | | |
利息和其他,净额 | 16 | (34.9) | | (44.6) | | | (44.6) | |
所得税前收入 | | 4,016.6 | | 6,705.5 | | | 6,456.1 | |
| | | | | |
所得税费用 | 21 | (551.5) | | (1,021.4) | | | (969.9) | |
所得税后所得 | | 3,465.1 | | 5,684.1 | | | 5,486.2 | |
| | | | | |
权益法投资的利润 | 9 | 88.6 | | 199.1 | | | 138.0 | |
净收入 | | 3,553.7 | | 5,883.2 | | | 5,624.2 | |
| | | | | |
每股普通股基本净收益 | 23 | | 8.49 | | 14.36 | | | 14.14 | |
每股普通股摊薄净收益 | 23 | | 8.48 | | 14.34 | | | 14.13 | |
计算每股金额所用之普通股数目: | | | | | |
基本信息 | 23 | 418.3 | | 409.8 | | | 397.7 | |
稀释 | 23 | 419.1 | | 410.4 | | | 398.0 | |
1.销售成本包括与关联方的金额,2,206.1百万欧元1,855.2百万欧元和欧元1,457.42022年、2021年和2020年分别为100万。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表续 | 战略报告 | 治理 | 金融类股 | 217 |
| 综合全面收益表 | | | | |
| | | | | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 备注 | 2020 | 2021 | | 2022 |
净收入 | | 3,553.7 | | 5,883.2 | | | 5,624.2 | |
| | | | | |
其他全面收入: | | | | | |
权益法投资中保监处的比例份额 | | (1.3) | | 22.0 | | | 37.7 | |
| | | | | |
外币折算,税后净额: | | | | | |
外币折算损益 | | (73.8) | | 93.3 | | | 66.0 | |
| | | | | |
金融工具,税后净额: | | | | | |
衍生金融工具的损益 | 25 | | (21.0) | | 16.6 | | | 57.6 | |
转账至净收入 | 25 | | (2.3) | | 22.2 | | | (66.5) | |
其他综合收益,税后净额 | | (98.4) | | 154.1 | | | 94.8 | |
| | | | | |
综合收益总额,税后净额 | | 3,455.3 | | 6,037.3 | | | 5,719.0 | |
归属于股权持有人 | | 3,455.3 | | 6,037.3 | | | 5,719.0 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表续 | 战略报告 | 治理 | 金融类股 | 218 |
| 合并资产负债表 | | | | |
| | | | | | | | | | | | | | | |
截至12月31日(欧元,单位为百万,不包括每股和每股数据) | 备注 | 2021 | | 2022 | |
资产 | | | | | |
现金和现金等价物 | 4 | | 6,951.8 | | | 7,268.3 | | |
短期投资 | 4 | | 638.5 | | | 107.7 | | |
应收账款净额 | 5 | | 3,028.0 | | | 5,323.8 | | |
财务应收账款净额 | 6 | | 1,185.6 | | | 1,356.7 | | |
流动纳税资产 | 21 | | 42.0 | | | 33.4 | | |
合同资产 | 2 | | 164.6 | | | 131.9 | | |
库存,净额 | 7 | | 5,179.2 | | | 7,199.7 | | |
| | | | | |
其他资产1 | 8 | | 1,000.5 | | | 1,643.4 | | |
| | | | | |
流动资产总额 | | 18,190.2 | | | 23,064.9 | | |
| | | | | |
财务应收账款净额 | 6 | | 383.0 | | | — | | |
递延税项资产 | 21 | | 1,098.7 | | | 1,672.8 | | |
| | | | | |
应收贷款2 | 26 | | 124.4 | | | 364.4 | | |
其他资产3 | 8 | | 887.0 | | | 739.8 | | |
权益法投资 | 9 | | 892.5 | | | 923.6 | | |
商誉 | 11 | | 4,555.6 | | | 4,555.6 | | |
其他无形资产,净额 | 12 | | 952.1 | | | 842.4 | | |
财产、厂房和设备、净值 | 13 | | 2,982.7 | | | 3,944.2 | | |
使用权资产 | 14 | | 164.8 | | | 192.7 | | |
非流动资产总额 | | 12,040.8 | | | 13,235.5 | | |
| | | | | |
| | | | | |
| | | | | |
| | | | | |
| | | | | |
| | | | | |
总资产 | | 30,231.0 | | | 36,300.4 | | |
| | | | | |
| | | | | | | | | | | | | | | |
截至12月31日(欧元,单位为百万,不包括每股和每股数据) | 备注 | 2021 | | 2022 | |
负债和股东权益 | | | | | |
应付帐款4 | | 2,116.3 | | | 2,565.2 | | |
应计负债和其他负债5 | 15 | | 1,435.5 | | | 1,875.9 | | |
流动税项负债 | 21 | | 301.9 | | | 315.3 | | |
长期债务的当期部分 | 16 | | 509.1 | | | 746.2 | | |
合同责任 | 2 | | 7,935.2 | | | 12,481.0 | | |
| | | | | |
流动负债总额 | | 12,298.0 | | | 17,983.6 | | |
| | | | | |
长期债务 | 16 | | 4,075.0 | | | 3,514.2 | | |
递延及其他所得税负债 | 21 | | 240.6 | | | 267.0 | | |
合同责任 | 2 | | 3,225.7 | | | 5,269.9 | | |
应计负债和其他负债 | 15 | | 251.1 | | | 454.9 | | |
非流动负债总额 | | 7,792.4 | | | 9,506.0 | | |
| | | | | |
总负债 | | 20,090.4 | | | 27,489.6 | | |
| | | | | |
普通股;欧元0.09名义价值; | | | | | |
700,000,000于2022年12月31日获授权股份(2021年: 699,999,000) | | | | | |
394,589,411于二零二二年十二月三十一日已发行及尚未到期(二零二一年: 402,601,613) | | | | | |
已发行及已发行股份 | | 36.5 | | | 36.3 | | |
股票溢价 | | 3,876.1 | | | 3,940.8 | | |
按成本价计算的库存股 | | (2,422.8) | | | (4,641.3) | | |
留存收益 | | 8,317.3 | | | 9,046.7 | | |
累计其他综合收益 | | 333.5 | | | 428.3 | | |
股东权益总额 | 22 | | 10,140.6 | | | 8,810.8 | | |
| | | | | |
总负债和股东权益 | | 30,231.0 | | | 36,300.4 | | |
1.其他资产—流动包括与关联方的金额,欧元479.91000万欧元和欧元288.5分别为2022年12月31日和2021年12月31日。
2.应收贷款包括与关联方的金额,364.41000万欧元和欧元124.4 于2022年12月31日及2021年12月31日分别为百万美元。
3.其他资产—非流动资产包括与关联方的金额620.41000万欧元和欧元694.3 于二零二二年及二零二一年十二月三十一日,.
4.应付账款包括与关联方的金额,269.2百万欧元和欧元482.7于2022年12月31日及2021年, 分别进行了分析。
5.应计及其他负债—流动包括与关联方的金额,欧元111.21000万欧元和欧元000万分别于2022年、2022年和2021年12月31日。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表续 | 战略报告 | 治理 | 金融类股 | 219 |
| 合并股东权益报表 | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| 备注 | 发行和流通股票 | 股票溢价 | 按成本计算的库藏股 | 留存收益 | 保监处1 | | 总计 |
(百万欧元) | 数 | 金额 | |
2020年1月1日的余额 | | 419.8 | | 38.2 | | 3,772.0 | | (1,019.6) | | 9,523.8 | | 277.8 | | | 12,592.2 | |
全面收入的构成部分: | | | | | | | | | |
净收入 | | — | | — | | — | | — | | 3,553.7 | | — | | | 3,553.7 | |
权益法投资中保监处的比例份额 | | — | | — | | — | | — | | — | | (1.3) | | | (1.3) | |
外币折算损益 | | — | | — | | — | | — | | — | | (73.8) | | | (73.8) | |
金融工具的损益 | 25 | — | | — | | — | | — | | — | | (23.3) | | | (23.3) | |
综合收益总额 | | — | | — | | — | | — | | 3,553.7 | | (98.4) | | | 3,455.3 | |
| | | | | | | | | |
| | | | | | | | | |
| | | | | | | | | |
购买库藏股 | 22 | | (3.9) | | — | | — | | (1,207.5) | | — | | — | | | (1,207.5) | |
库存股的注销 | 22 | | — | | (0.7) | | — | | 1,262.3 | | (1,261.6) | | — | | | — | |
基于股份的支付 | 20 | | — | | — | | 53.9 | | — | | — | | — | | | 53.9 | |
发行股份 | 20 | | 0.6 | | 0.1 | | (45.8) | | 101.6 | | (18.0) | | — | | | 37.9 | |
已支付的股息 | 22 | | — | | — | | — | | — | | (1,066.4) | | — | | | (1,066.4) | |
| | | | | | | | | |
2020年12月31日余额 | | 416.5 | | 37.6 | | 3,780.1 | | (863.2) | | 10,731.5 | | 179.4 | | | 13,865.4 | |
| | | | | | | | | |
| | | | | | | | | |
| | | | | | | | | |
全面收入的构成部分: | | | | | | | | | |
净收入 | | — | | — | | — | | — | | 5,883.2 | | — | | | 5,883.2 | |
权益法投资中保监处的比例份额 | | — | | — | | — | | — | | — | | 22.0 | | | 22.0 | |
外币折算损益 | | — | | — | | — | | — | | — | | 93.3 | | | 93.3 | |
金融工具的损益 | 25 | | — | | — | | — | | — | | — | | 38.8 | | | 38.8 | |
综合收益总额 | | — | | — | | — | | — | | 5,883.2 | | 154.1 | | | 6,037.3 | |
| | | | | | | | | |
| | | | | | | | | |
| | | | | | | | | |
购买库藏股 | 22 | | (14.4) | | — | | — | | (8,560.3) | | — | | — | | | (8,560.3) | |
库存股的注销 | 22 | | — | | (1.2) | | — | | 6,926.6 | | (6,925.4) | | — | | | — | |
基于股份的支付 | 20 | | — | | — | | 117.5 | | — | | — | | — | | | 117.5 | |
发行股份 | 20 | | 0.5 | | 0.1 | | (21.5) | | 74.1 | | (3.7) | | — | | | 49.0 | |
已支付的股息 | 22 | | — | | — | | — | | — | | (1,368.3) | | — | | | (1,368.3) | |
| | | | | | | | | |
2021年12月31日的余额 | | 402.6 | | 36.5 | | 3,876.1 | | (2,422.8) | | 8,317.3 | | 333.5 | | | 10,140.6 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表续 | 战略报告 | 治理 | 金融类股 | 220 |
| 合并股东权益报表(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| 备注 | 发行和流通股票 | 股票溢价 | 按成本计算的库藏股 | 留存收益 | 保监处1 | | 总计 |
(百万欧元) | 数 | 金额 | |
2021年12月31日的余额 | | 402.6 | | 36.5 | | 3,876.1 | | (2,422.8) | | 8,317.3 | | 333.5 | | | 10,140.6 | |
全面收入的构成部分: | | | | | | | | | |
净收入 | | — | | — | | — | | — | | 5,624.2 | | — | | | 5,624.2 | |
权益法投资中保监处的比例份额 | | — | | — | | — | | — | | — | | 37.7 | | | 37.7 | |
外币折算损益 | | — | | — | | — | | — | | — | | 66.0 | | | 66.0 | |
金融工具的损益 | 25 | | — | | — | | — | | — | | — | | (8.9) | | | (8.9) | |
综合收益总额 | | — | | — | | — | | — | | 5,624.2 | | 94.8 | | | 5,719.0 | |
| | | | | | | | | |
| | | | | | | | | |
| | | | | | | | | |
购买库藏股 | 22 | | (8.5) | | — | | — | | (4,639.7) | | — | | — | | | (4,639.7) | |
库存股的注销 | 22 | | — | | (0.3) | | — | | 2,333.7 | | (2,333.4) | | — | | | — | |
基于股份的支付 | 20 | | — | | — | | 68.9 | | — | | — | | — | | | 68.9 | |
发行股份 | 20 | | 0.5 | | 0.1 | | (4.2) | | 87.5 | | (1.6) | | — | | | 81.8 | |
已支付的股息 | 22 | | — | | — | | — | | — | | (2,559.8) | | — | | | (2,559.8) | |
| | | | | | | | | |
2022年12月31日的余额 | | 394.6 | | 36.3 | | 3,940.8 | | (4,641.3) | | 9,046.7 | | 428.3 | | | 8,810.8 | |
1.截至2022年12月31日,累计其他全面收益包括欧元32.8与我们按比例分占权益法投资的其他全面收益有关的收益(二零二一年:欧元4.9百万损失;2020年:欧元26.9百万损失),欧元387.9与外币换算收益有关的百万美元(二零二一年:欧元321.9百万收益;2020年:欧元228.6百万收益)和欧元7.6与金融工具未实现收益有关的百万美元(二零二一年:欧元16.5百万收益;2020年:欧元22.3百万损失)。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表续 | 战略报告 | 治理 | 金融类股 | 221 |
| 合并现金流量表 | | | | |
| | | | | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 备注 | 2020 | 2021 | | 2022 |
经营活动的现金流 | | | | |
|
净收入 | | 3,553.7 | | 5,883.2 | | | 5,624.2 | |
将净收入与经营活动的现金流量净额进行调整: | | | | | |
折旧及摊销1 | 12, 13, 14 | 490.8 | | 471.0 | | | 583.6 | |
减值和处置损失(收益) | 12, 13 | 5.5 | | (15.9) | | | 39.3 | |
基于股份的薪酬费用 | 18, 20 | 53.9 | | 117.5 | | | 68.9 | |
出售附属公司的收益 | 10 | | — | | (213.7) | | | — | |
库存储备 | 7 | | 192.4 | | 180.7 | | | 278.5 | |
递延税项支出(福利) | 21 | | (211.3) | | (419.6) | | | (564.2) | |
权益法投资2 | 9 | | 11.0 | | (49.8) | | | 15.3 | |
资产和负债变动情况: | | | | | |
应收账款净额 | 5 | | 507.5 | | (1,754.9) | | | (2,338.0) | |
财务应收账款净额 | 6 | | (1,125.4) | | 542.3 | | | 212.2 | |
盘存 | 7 | | (706.7) | | (483.2) | | | (2,080.9) | |
其他资产 | 8 | | (75.1) | | (222.2) | | | (864.3) | |
应计负债和其他负债 | 15 | | 47.5 | | 347.6 | | | 439.7 | |
应付帐款 | | 334.3 | | 718.6 | | | 406.2 | |
流动税项资产和负债 | 21 | | 131.5 | | 214.4 | | | 33.6 | |
合同资产和负债 | 2 | | 1,418.0 | | 5,529.8 | | | 6,632.7 | |
经营活动提供的净现金 | | 4,627.6 | | 10,845.8 | | | 8,486.8 | |
| | | | | |
投资活动产生的现金流 | | | | | |
购置房产、厂房和设备3 | 13 | | (962.0) | | (900.7) | | | (1,281.8) | |
购买无形资产 | 12 | | (38.8) | | (39.6) | | | (37.5) | |
购买短期投资 | 4 | | (1,475.5) | | (1,162.7) | | | (334.3) | |
短期投资到期日 | 4 | | 1,359.1 | | 1,826.4 | | | 864.7 | |
| | | | | |
发放的贷款和其他投资 | 26 | | (12.2) | | (124.4) | | | (240.0) | |
出售附属公司所得款项(已处置现金净额) | 10 | | — | | 329.0 | | | — | |
| | | | | |
收购子公司(扣除收购现金后的净额) | 10 | | (222.8) | | — | | | — | |
用于投资活动的现金净额 | | (1,352.2) | | (72.0) | | | (1,028.9) | |
| | | | | |
| | | | | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 备注 | 2020 | 2021 | | 2022 |
融资活动产生的现金流 | | | | | |
已支付的股息 | 22 | | (1,066.4) | | (1,368.3) | | | (2,559.8) | |
购买库藏股 | 22 | | (1,207.5) | | (8,560.3) | | | (4,639.7) | |
发行股票所得净收益 | 20 | | 37.9 | | 49.0 | | | 81.8 | |
发行票据的净收益,扣除发行成本 | 16 | | 1,486.3 | | — | | | 495.6 | |
| | | | | |
偿还债务和融资租赁债务 | 14, 16 | (3.3) | | (12.1) | | | (516.2) | |
用于融资活动的现金净额 | | (753.0) | | (9,891.7) | | | (7,138.3) | |
| | | | | |
净现金流 | | 2,522.4 | | 882.1 | | | 319.6 | |
汇率变动对现金的影响 | | (5.3) | | 20.3 | | | (3.1) | |
现金及现金等价物净增(减) | | 2,517.1 | | 902.4 | | | 316.5 | |
年初现金及现金等价物 | 4 | | 3,532.3 | | 6,049.4 | | | 6,951.8 | |
年终现金及现金等价物 | 4 | | 6,049.4 | | 6,951.8 | | | 7,268.3 | |
| | | | | |
现金流量信息的补充披露: | | | | | |
不包括投资活动的不动产、厂场和设备未付部分,列入应付账款 | | (46.9) | | 29.3 | | | 50.3 | |
收到的利息 | | 32.1 | | 36.6 | | | 42.4 | |
支付的利息 | | (64.1) | | (83.0) | | | (82.2) | |
已缴纳所得税,扣除退款后的净额 | | (650.2) | | (1,235.0) | | | (1,734.6) | |
1.折旧及摊销包括物业、厂房及设备折旧、无形资产摊销、使用权资产折旧、承销佣金摊销及与债券及信贷融资有关的折扣。
2.权益法投资与我们的 24.9% Carl Zeiss SMT Holding GmbH & Co. KG的股权,包括我们应占的净业绩、已收股息及其他股权变动,以及附注26所披露的研发及供应链支持资金的资本化。收到的股息为现金流入欧元178.7百万欧元(2021年:欧元168.02020年:欧元128.1百万)。
3.购买物业、厂房和设备包括现金流出欧元33.8百万欧元(2021年:欧元69.22020年:欧元203.7该等款项最初确认为其他资产的一部分。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注 | 战略报告 | 治理 | 金融类股 | 222 |
| 合并财务报表附注 | | | | |
1. 一般信息/一般会计政策摘要
ASML是半导体行业的领先供应商。该公司为芯片制造商提供硬件、软件和服务,以大规模生产集成电路(微芯片)图案。ASML与其合作伙伴一起推动了更实惠、更强大、更节能的微芯片的发展。ASML使突破性的技术能够解决一些人类最严峻的挑战,例如医疗保健、能源使用和节约、移动和农业。我们的总部位于欧洲顶级技术中心,荷兰埃因霍温地区,是一个全球团队, 39,000FTE, 143不同国籍, 3大洲ASML的主要业务位于EMEA、北美和亚洲。
我们的股份以注册股份的形式在阿姆斯特丹泛欧交易所和纳斯达克上市交易。我们普通股的主要交易市场为阿姆斯特丹泛欧交易所。
准备的基础
除另有说明外,所附综合财务报表以百万欧元列报。
所附综合财务报表乃根据美国公认会计原则编制。
预算的使用
根据美国公认会计原则编制综合财务报表要求管理层作出估计及假设,而这些估计及假设会影响资产负债表日资产及负债的呈报金额及或然资产及负债的披露,以及报告期间的净销售额及成本的呈报金额。我们的估计及假设的输入数据考虑了经济影响,包括供应链限制、通胀、俄罗斯与乌克兰冲突、新型冠状病毒病及宏观经济环境的不确定性。我们相信,关键会计估计及假设属适当。ASML将继续监测经济影响的影响,并将其纳入会计估计。实际结果可能与该等估计不同。我们持续评估我们的估计,并根据过往经验及我们认为在有关情况下合理的多项其他假设作出估计。倘假设证明不正确,实际结果可能与该等估计不同。倘实际业绩与该等估计之间存在重大差异,我们的未来业绩可能受到重大不利影响。
吾等相信,下文所述会计政策要求吾等在编制综合财务报表时作出重大判断及估计。我们最重要的会计估计包括:
–收入确认(见附注2客户合约收入)。
–资本化研发支出递延税项的可收回性(见附注21所得税)
合并原则
综合财务报表包括ASML Holding N.V.及其所有附属公司的财务报表。子公司是ASML控制财务和经营活动的所有实体,通常伴随着超过 50.0未行使表决权的%。附属公司自ASML取得控制权当日起全面综合入账。所有公司间交易、结余及与附属公司交易的未实现业绩均予以对销。吾等亦评估吾等是否为任何可变权益实体的主要受益人,并因此应将其合并。
外币折算
功能货币为欧元区以外之附属公司之财务资料乃以当地货币组合或欧元作为功能货币计量。这些功能货币不同于欧元的外国子公司的财务报表在编制ASML的合并财务报表时换算为欧元。资产和负债按各资产负债表日的汇率换算为欧元,收入和成本按同期平均汇率换算为欧元。所产生之换算调整直接计入股东权益。
采用新的美国公认会计准则会计声明
于二零二二年,概无采纳对综合财务报表有重大影响的新美国公认会计准则会计声明。
发布但未采用的新的美国公认会计准则会计公告
截至2022年12月31日止年度,概无已颁布尚未采纳且预期将对我们的综合财务报表产生重大影响的新美国公认会计准则会计公告。
2. 与客户签订合同的收入
会计政策
我们根据与客户订立的合约中指定的代价计量收入,并就任何重大融资成分作出调整,不包括代表第三方收取的任何税项。当我们透过将货品或服务的控制权转移至客户而履行履约责任时,我们确认收入。我们向客户收取运费和处理费用,并确认为收入。
根据合同,我们可以通过保留生产槽位或交付系统时的组合方式获得系统付款,剩余部分在系统最终验收后获得。除非另有说明,否则我们的服务和现场选项的付款权发生在发货或完成服务时。付款通常在上述事件发生后15—45天内到期。我们的合同通常包括取消罚款,为客户取消风险提供经济保护。与我们的销售有关的成本确认为销售成本。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 223 |
| 合并财务报表附注(续) | | | | |
我们来自销售半导体行业的集成图案化解决方案,主要包括系统、系统相关选项及升级、其他整体光刻解决方案及客户服务。我们净销售额的主要部分来自与客户签订的批量采购协议,这些协议有多项履约责任,主要包括销售我们的系统、系统相关选项、安装、培训以及延长和增强保修。在我们的批量采购协议中,我们在正常的销售谈判过程中为客户提供折扣。作为这些批量采购协议的一部分,我们还可能提供免费的商品或服务以及可用于未来购买的积分。有时,系统以及相关的延长和增强保修、安装和培训服务是单独订购的。我们的销售协议不包括因任何原因而退货的权利,但不符合约定的规格。
倘产品或服务可与其他项目分开识别,且客户可自行或以客户可随时获得的其他资源从中受益,则我们将个别商品及服务入账为独立及独立的履约责任,包括免费或折扣商品或服务。
就我们的履约责任支付的代价通常是固定的。然而,我们与客户签订的大部分批量采购协议都包含一些可变考虑因素,通常取决于客户订购的系统的最终数量或系统性能。可变代价乃于合约开始时根据与客户沟通以了解彼等之要求及路线图,就每项履约责任作出估计。其后每季度更新,使用预期值法或最可能金额法,以最佳预测将向客户收取之代价者为准。可变代价仅于认为不大可能出现重大收益拨回时计入交易价格。
在签订批量采购协议的某些情况下,免费商品或服务是直接提供的,或通过可用于未来合同的凭证提供的。合约代价将分配至该等履约责任,并于控制权转移时根据所提供货品或服务的性质确认收益。
我们的大多数合同要求我们的客户支付要发货的系统的定金。我们并无就首期付款记录重大融资部分,原因为支付代价与系统转移予客户之时间差异乃因融资以外之原因而产生。
合约总代价乃根据合约内所有不同履约责任之独立售价分配。独立售价乃根据可直接观察之其他独立销售额厘定(如可能)。然而,对于我们的大部分履约责任,这些是不可用的。倘并无直接可观察证据,则独立售价乃采用经调整市场评估法厘定,该方法需要作出判断,并基于多个因素(包括但不限于过往定价惯例及产品及服务之贴现趋势)。
除购买承诺外,购买货品或服务的选择权会被评估,以厘定彼等是否向客户提供倘彼等并无订立此合约而不会获得的重大权利。按独立售价的折扣购买额外货品或服务的每项选择权被视为重大权利。倘厘定客户将行使购买选择权,则由独立售价提供之折扣将从合约内其他货品及服务之代价中分配,并就可能性作出调整。收入将根据相关商品或服务的性质确认。倘其后厘定客户将不会行使购买选择权,或该选择权到期,则会确认收入。
有时候,我们会根据客户的要求,为一个系统向客户开具发票,该系统已经准备好交付,但直到稍后才交付给客户。控制权转移仅在有实质性理由作出安排、系统被单独识别为属于客户、货物已获客户接受并准备好交付,且我们没有能力指导系统的使用时才被确定为发生。
我们从出租人协议产生收入,当租赁于租赁开始时符合以下任何标准时,我们将其分类为销售型租赁:
–租赁期届满时,租赁将标的资产的所有权转移给承租人;
–租赁授予承租人购买相关资产的选择权,承租人合理确定将行使该选择权;
–租赁期为相关资产剩余经济年期的大部分。但是,如果开始日期是在相关资产的经济寿命结束时或接近结束时,则不得将该标准用于租赁分类;
–租赁付款额与承租人担保的任何剩余价值之总和的现值,但尚未反映在租赁付款额中的剩余价值等于或超过相关资产的绝大部分公允价值;或
–相关资产属于特殊性质,预期于租期结束时对出租人并无替代用途。
对于销售型租赁而言,资产所有权的几乎所有风险和回报都转移给了承租人,收入在租赁开始时确认。如果是重要的,应收融资毛额与最低租赁付款现值之间的差额最初被确认为未赚取利息,并作为应收融资毛额的扣除。利息收入按实际利息法在租赁合同期限内的综合经营报表中确认。
非销售型租赁属于经营性租赁安排。如果我们已向客户提供运营租赁安排,系统将在开始时包括在物业、厂房和设备中
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 224 |
| 合并财务报表附注(续) | | | | |
租赁。经营租赁安排之收益于租赁合约年期内以直线法于综合经营报表确认。
| | | | | | | | |
商品或服务 | | 履行履约义务的性质、时间和重要付款条件 |
新系统(已建立的技术) | | 新系统销售包括i—line、KrF、ArF、ArFi和EUV相关系统,以及与基础系统一起订购的相关工厂选项,以及计量和检测系统。 |
| 在发货前,我们的大多数系统都在我们的洁净室设施中进行工厂验收测试(FAT),有效地复制客户现场的操作条件,以验证系统是否符合其标准规格以及与客户商定的任何其他技术和性能标准。 |
| 正在进行FAT的系统只有在满足所有合同规格或放弃与商定规格的差异并收到客户签字后才能发货。在客户现场安装后,每个系统的性能都要通过现场验收测试(SAT)进行重新测试。我们从未在客户的场所成功完成系统的安装;因此,FAT的验收被认为是证明已建立的技术,并有在SAT成功的客户验收历史(等于或优于FAT)。 |
| 在交付系统时,将发生对正在进行FAT的系统的控制权的转移,并确认与该系统相关的收入。 |
| 未进行FAT测试或跳过我们工厂的某些测试(快速发货)的系统,在安装完成后,客户在SAT接受系统后,将转移此类系统的控制权和收入确认。 |
| 新系统销售不符合随时间确认收入的要求,原因是我们的客户并没有同时接收及消耗我们的表现所带来的利益,或在我们生产过程的任何阶段控制资产,以及该等系统被视为有替代用途。 |
使用过的系统 | | 我们在一般销售条款及条件中并无回购承诺,但我们偶尔会回购我们先前生产和销售的系统,以便重新出售系统并转售给其他客户。此回购决定主要受其他客户表达的市场需求所驱动。 |
| 旧系统的控制权转移和收入确认遵循与我们的“新系统(已建立的技术)”相同的逻辑。 |
| | | | | | | | |
商品或服务 | | 履行履约义务的性质、时间和重要付款条件 |
外地升级和选项(系统增强) | | 现场升级和选项主要涉及为客户工厂已安装的系统交付的货物和服务。某些升级需要大量的安装工作,增强客户控制的资产,因此导致在安装期间控制权转移,使用成本发生法(使用人工小时估计)计量,因为这最好地反映了我们在转移控制权方面的义务的履行。就客户于交付时收到及消耗利益的购股权及其他升级而言,控制权转移及收益确认将于交付时发生。 |
| 只要我们无法可靠估计完成升级所需的总工作量,我们仅确认收入以弥补所产生的成本。保证金将在我们能够作出可靠的估计或完成升级的较早实现。 |
新产品推介 | | 我们销售新产品和服务,这是我们现有技术的演变。如果安装被确定为不是单独的性能,或者如果FAT上没有足够的验收历史,则该产品被确定为“新产品引入”。 |
| 新产品的引入通常是新开发的选项,用于我们的系统。在沙特德士古公司成功安装和客户接受后,才转移控制权并确认新产品引进的收入。一旦有成功安装和客户接受的既定历史,收入将在控制权转移后与其他系统和货物一致确认。 |
安装 | | 安装是在系统的售价内提供的。安装被认为是不同的,因为它不会显著修改所购买的系统,并且客户或第三方可以自行执行安装,如果需要。控制权的转移是在从通过沙特德士古公司交付的安装期间进行的,以直线法计算,因为我们的业绩在这段时间内平均得到满足。如果用户在安装完成后在沙特德士古公司接受了一个系统,就确认了与系统有关的收入,则安装不被视为是单独的。 |
保修 | | 我们为我们的系统提供标准保修服务12提供必要的人工和非消耗性部件,以在这些保修期内维修我们的系统。这些标准保修不能购买,并且除了系统将按承诺执行的一般保证之外,不提供服务。因此,并无收入分配至该等标准保修。 |
| 我们的系统的延长及增强保修均作为一项独立的履约责任入账,控制权转移于保修期内进行,以直线法计量,因为这是一项备用责任。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 225 |
| 合并财务报表附注(续) | | | | |
| | | | | | | | |
商品或服务 | | 履行履约义务的性质、时间和重要付款条件 |
基于时间的许可证和相关服务 | | 基于时间的许可涉及在一段时间内销售的软件许可和相关服务。许可证和相关服务不被认为是独立的,因为支持服务对于客户在快速变化的技术环境中继续使用软件许可证的能力是不可或缺的。控制权的转移是在许可期限内进行的,以直线为基础进行衡量,因为我们的性能在这段时间内得到了均匀的满足。通常在整个许可期限内分期付款。 |
应用项目 | | 应用项目是节点过渡和咨询项目,有时可以在批量购买协议中作为免费服务提供。衡量这一履行义务的满意度是通过一种输入法进行的,这种输入法基于所花费的劳动小时相对于估计的总劳动小时,因为这最好地描述了这类服务的控制权转移。 |
服务合同 | | 与我们的客户签订服务合同,以支持我们的系统在系统生命周期内的持续运营中使用,通常是以全面服务协议、有限人力协议、其他劳动协议、部件可用性或部件使用协议的形式。这些服务有一段特定的时间,通常有固定的价格。在这段时间内的控制权转移,以直线为基础进行衡量,因为这些都是随时准备好的义务。对于价格不固定的服务合同,交易价格具有基于系统性能的可变组成部分。 |
计费部件和人工 | | 收费人工是指通过客户的采购订单,对安装在客户工厂中的系统在运行时提供的维护服务。在收到客户签字后,对这些服务的控制权转移到客户手中。 |
| 可计费部件是指通过客户的采购订单安装在客户工厂中的备用部件,包括与我们的系统相关的光学部件。 |
| 计费部件可以是: |
| –作为直接备件出售,其控制权在交货时转移;或 |
| –作为维护服务的一部分销售,在此服务中,控制权在收到客户签字后的时间点转移。 |
实地项目(搬迁) | | 实地项目主要是搬迁服务。这一履行义务的满意度是通过一种输入法执行的,该输入法基于所花费的工时相对于估计的总工时,因为这最好地描述了我们服务的控制权的转移。 |
On Pulse维护 | | 在我们的光源系统上,在指定的时间段内提供OnPulse维护服务。付款由从每个光源系统计数的脉冲数量确定,该数量是可变的。根据计数的脉冲按月开具发票。收入根据ASC 606-10-55-18中的实际权宜之计按照发票确认。 |
收入的分解
我们的客户合约收入按分类基准与我们的可报告分部披露一致,并增加了按技术和最终用途分列的系统销售净额。
每项技术的系统净销售额如下:
| | | | | | | | |
截至2013年12月31日的年度 | 净利润系统:销售额 以单位计 | 净利润系统:销售额 百万欧元 |
2022 | | |
EUV | 40 | | 7,045.3 | |
阿尔菲 | 81 | | 5,236.5 | |
ARF干式 | 28 | | 623.7 | |
Krf | 151 | | 1,653.7 | |
I型线 | 45 | | 211.5 | |
计量与检验 | 216 | | 659.6 | |
总计 | 561 | | 15,430.3 | |
| | |
2021 | | |
EUV | 42 | | 6,284.0 | |
阿尔菲 | 81 | | 4,959.6 | |
ARF干式 | 22 | | 431.9 | |
Krf | 131 | | 1,321.3 | |
I型线 | 33 | | 142.3 | |
计量与检验 | 196 | | 513.7 | |
总计 | 505 | | 13,652.8 | |
| | |
2020 | | |
EUV | 31 | | 4,463.8 | |
阿尔菲 | 68 | | 3,917.0 | |
ARF干式 | 22 | | 427.0 | |
Krf | 103 | | 1,012.3 | |
I型线 | 34 | | 146.4 | |
计量与检验 | 137 | | 350.1 | |
总计 | 395 | | 10,316.6 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 226 |
| 合并财务报表附注(续) | | | | |
每个最终用户的系统净销售额如下:
| | | | | | | | |
截至2013年12月31日的年度 | 净利润系统:销售额 以单位计 | 净利润系统:销售额 百万欧元 |
2022 | | |
逻辑 | 357 | | 9,977.6 | |
记忆 | 204 | | 5,452.7 | |
总计 | 561 | | 15,430.3 | |
| | |
2021 | | |
逻辑 | 327 | | 9,588.5 | |
记忆 | 178 | | 4,064.3 | |
总计 | 505 | | 13,652.8 | |
| | |
2020 | | |
逻辑 | 260 | | 7,393.0 | |
记忆 | 135 | | 2,923.6 | |
总计 | 395 | | 10,316.6 | |
合同资产和负债
合约资产与我们收取代价以换取已交付货品或服务的权利有关,而该权利取决于时间流逝以外的其他因素。合约资产于应收款项成为无条件时转拨至应收款项。合约负债主要涉及尚未在收入中确认的系统已收取对价的剩余履约责任,以及系统装运的递延收入,根据对价分配至合约相关履约责任。
我们的大部分客户合约均导致资产及负债头寸。于各报告期末,该等头寸按合约基准净额计算,并于综合资产负债表呈列为资产或负债。因此,合同余额可以在资产负债表中从合同资产净值余额变化为合同负债净值余额。
期内合约资产及合约负债结余之重大变动如下。
| | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
| 合同资产 | 合同责任 | | 合同资产 | 合同责任 |
年初余额 | 119.2 | | 5,594.1 | | | 164.6 | | 11,160.9 | |
从合同资产转入应收款 | (268.2) | | — | | | (393.4) | | — | |
终了年度确认为合同资产的收入 | 199.7 | | — | | | 116.5 | | — | |
计入合同负债的已确认收入 | — | | (3,767.0) | | | — | | (6,326.6) | |
估计数变动引起的累计追赶调整数引起的变动 | — | | 39.7 | | | — | | (118.0) | |
已收到对价或吾等有无条件要求对价的剩余履约责任 | — | | 9,180.2 | | | — | | 12,790.4 | |
| | | | | |
合同资产和负债之间的转移 | 113.9 | | 113.9 | | | 244.2 | | 244.2 | |
总计 | 164.6 | | 11,160.9 | | | 131.9 | | 17,750.9 | |
合同负债净额增加至欧元17.6截至2022年12月31日,11.0截至2021年12月31日,本集团的净利润增长主要是由于确认未来将发货的系统的预付款,以及已交付但尚未确认收入的快速发货系统收到的对价。我们本年度收入确认的累计追赶调整是由于我们的批量采购协议中包含的系统数量、折扣和信贷的更新估计。
剩余履约义务
我们的客户通常承诺通过单独的销售订单和服务合同购买系统、服务或现场选项。通常,这些销售订单的条款和条件来自与我们客户签订的批量采购协议,该协议可涵盖 5年每项承诺履约责任的收入根据通过批量采购协议商定的条款和条件进行估计。
何时确认收入主要取决于何时交付或安装系统,以及何时执行和完成服务项目和实地升级。所有这些都是根据合同条款和与客户的沟通进行估计,包括客户设施交付我们的货物或服务的准备情况。批量采购协议可能会作出修改,影响预期收入的收入确认金额和时间。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 227 |
| 合并财务报表附注(续) | | | | |
截至2022年12月31日,剩余履约义务为欧元45.4十亿(2021年12月31日:欧元28.9十亿)。我们估计 56%(2021年12月31日:61%)的预期收入将在下一个月内确认, 12个月剩余的预期收入主要包括与EUV系统和我们的下一代EUV平台High—NA相关的订单,预计将在2024年或之后确认收入。
3. 分部披露
ASML, 一由于我们是一家综合性的整体光刻解决方案供应商,用于开发、生产、营销、销售、升级和服务先进的半导体设备系统,包括光刻、计量和检测系统。首席营运决策者定期制定及综合监察目标及界限,以作出资源分配及评估表现的决定。
管理报告包括新系统和旧系统的净系统销售额、每项技术的销售额和每项最终用途的销售额。有关每项技术及最终用途的销售额,见附注2客户合约收入。
新系统和旧系统的净销售额如下:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
新系统 | 10,160.8 | | 13,446.1 | | | 15,152.3 | |
使用过的系统 | 155.8 | | 206.7 | | | 278.0 | |
系统净销售额 | 10,316.6 | | 13,652.8 | | | 15,430.3 | |
就地区报告而言,总销售净额乃按客户融资所处之地区划分。长期资产归属于该等资产所在的地理位置。 按地区划分的总销售净额及长期资产如下:
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 总净销售额 | 长寿资产 |
2022 | | |
日本 | 1,008.6 | | 7.9 | |
韩国 | 6,045.6 | | 85.4 | |
新加坡 | 475.5 | | 5.5 | |
台湾 | 8,095.5 | | 216.3 | |
中国 | 2,916.0 | | 40.8 | |
亚洲其他地区 | 7.2 | | 0.2 | |
荷兰 | 9.2 | | 2,748.5 | |
欧洲、中东和非洲地区 | 624.5 | | 228.5 | |
美国 | 1,991.3 | | 803.8 | |
总计 | 21,173.4 | | 4,136.9 | |
| | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 总净销售额 | 长寿资产 |
| | |
2021 | | |
日本 | 459.3 | | 5.5 | |
韩国 | 6,223.0 | | 61.2 | |
新加坡 | 126.2 | | 7.3 | |
台湾 | 7,327.9 | | 163.6 | |
中国 | 2,740.8 | | 17.0 | |
亚洲其他地区 | 1.8 | | 0.2 | |
荷兰 | 14.2 | | 2,048.1 | |
欧洲、中东和非洲地区 | 134.6 | | 124.0 | |
美国 | 1,583.2 | | 555.8 | |
总计 | 18,611.0 | | 2,982.7 | |
| | |
2020 | | |
日本 | 542.8 | | 8.3 | |
韩国 | 4,151.6 | | 34.1 | |
新加坡 | 84.9 | | 2.1 | |
台湾 | 4,731.3 | | 164.3 | |
中国 | 2,324.4 | | 17.8 | |
亚洲其他地区 | 1.6 | | 0.4 | |
荷兰 | 1.6 | | 1,625.2 | |
欧洲、中东和非洲地区 | 483.3 | | 129.2 | |
美国 | 1,657.0 | | 488.9 | |
总计 | 13,978.5 | | 2,470.3 | |
在2022年,2客户超过总净销售额的10%以上,总计欧元11.8十亿美元,或55.8%,净销售额。2021年, 2客户超过总净销售额的10%以上,2020年, 3客户超过总净销售额的10%以上,2021年总计欧元12.5十亿美元,或67.2% (2020: €9.9十亿美元,或71.2%).我们的三个最大客户(按总净销售额计算)占欧元5.3十亿美元,或78.62022年12月31日的应收账款和应收融资款的%,相比欧元3.9十亿美元,或83.7%,于二零二一年十二月三十一日及欧元2.8十亿美元,或80.1于二零二零年十二月三十一日。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 228 |
| 合并财务报表附注(续) | | | | |
欧元的总净销售额增加2.6十亿美元,或13.8%,兑欧元21.22022年来自欧元的10亿欧元18.62021年将有10亿美元此外,全球芯片短缺、数字基础设施的加速以及对“技术主权”的推动也是如此。这导致DUV系统的销售量增加,而EUV销售额的增长主要归因于NXE:3600D的价值主张。它还促进了我们的服务和现场选项业务的增长,这一业务受益于不断增长的装机群。逻辑行业在2022年继续保持强劲势头,是我们最先进的EUV系统的最大消费国。由于强劲的数据中心需求,内存需求在2022年继续增长。台湾和日本的地区销售额增幅最大,以支持扩大产能以满足全球需求。
4. 现金和现金等价物及短期投资
会计政策
现金和现金等价物主要包括高流动性投资,例如银行存款、存放在政府和政府相关机构的存款、货币市场基金和银行账户,可随时转换为已知数额的现金,但利率风险不大,且对持有投资的实体具有原始到期日。3个月或少于收购之日。
收购日原始到期日大于3月和1一年或更短时间作为短期投资列报。这些投资的公允价值变动不是临时性的,在综合经营报表中确认。短期投资的利率风险微不足道。
现金和现金等价物以及短期投资包括以下内容:
| | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
| | | |
存放在金融机构、政府和政府相关机构的存款 | 2,131.7 | | | 2,548.1 | |
货币市场基金的投资 | 2,928.3 | | | 3,196.7 | |
银行账户 | 1,891.8 | | | 1,523.5 | |
现金和现金等价物 | 6,951.8 | | | 7,268.3 | |
| | | |
存放在金融机构、政府和政府相关机构的存款 | 638.5 | | | 107.7 | |
短期投资 | 638.5 | | | 107.7 | |
现金及现金等价物及短期投资主要受经营活动提供的强劲现金净额影响,受净收入及首期付款带动,主要由购买物业、厂房及设备、购买库存股及已付股息所抵销。
金融机构、政府和政府相关机构的存款以及货币市场基金的投资均获标准普尔、穆迪或
菲奇我们的现金及现金等价物主要以欧元计值,并在一定程度上以美元、台币、韩元及人民币计值。
这些资产的账面价值接近其公允价值。
截至2022年12月31日, 不是现金及现金等价物的使用存在限制(二零二一年: 不是限制)。
5. 应收账款净额
会计政策
应收账款按公允价值计量,其后按摊余成本减信贷亏损拨备计量。应收账款之账面值与公允价值相若。 我们对客户的财务状况进行持续的信贷评估。我们会定期检讨是否需要计提信贷亏损拨备,并会考虑过往付款经验、信贷质量、应收账款结余账龄、预期存续期亏损及可能影响客户付款能力的当前经济状况。
当订立出售应收款项的安排时,我们仅在符合终止确认标准时终止确认应收款项。这些标准要求与出卖人隔离,给予买受人质押或交换应收款的权利,并合法转移对应收款的控制权。
应收账款由下列各项组成:
| | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
应收账款,毛额 | 3,032.5 | | | 5,327.9 | |
信贷损失准备 | (4.5) | | | (4.1) | |
应收账款净额 | 3,028.0 | | | 5,323.8 | |
截至2022年12月31日的应收账款与2021年12月31日相比有所增加,主要是由于我们的销售额增加,在免费使用或评估期结束时购买的现金收据和系统的时间安排,以及与未来系统交付相关的首付款应收账款增加。
在2022年,不是应收账款通过保理安排出售(2021年:欧元2.330亿美元)。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 229 |
| 合并财务报表附注(续) | | | | |
6. 应收融资净额
会计政策
融资应收账款由与销售型租赁有关的应收账款组成。我们对客户的财务状况进行持续的信用评估。我们会定期检讨是否需要信贷损失拨备,考虑的因素包括:过往的付款经验、信贷质素、应收账款结余的老化情况、预期的终身亏损,以及可能影响客户支付能力的当前经济状况。
下表列出了截至2022年12月31日、2022年和2021年的融资应收账款构成:
| | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
应收金融账款,毛额 | 1,570.0 | | | 1,356.7 | |
未得利息 | (1.4) | | | — | |
财务应收账款净额 | 1,568.6 | | | 1,356.7 | |
应收账款的当期部分,毛额 | 1,187.0 | | | 1,356.7 | |
未赚取利息的当期部分 | (1.4) | | | — | |
应收账款非流动部分,净额 | 383.0 | | | — | |
于2022年12月31日,应收融资款项较2021年12月31日减少,乃由于已发运系统的免费使用期及评估期届满,惟透过提供额外免费使用期或评估期的系统而进行的新销售型租赁部分抵销。这些销售型租赁支持高端系统的容量增长,而高端系统是技术或系统类型的早期插入生命周期的一部分。预计这些系统将在免费使用或评估期结束时购买。
于租赁开始日期确认的毛利为欧元。429.12022年百万美元(2021年:欧元514.2百万;2020年:欧元830.2百万)。
于2022年12月31日,未来五年及其后支付的融资应收款项如下:
| | | | | |
(百万欧元) | 金额 |
2023 | 1,356.7 | |
2024 | — | |
2025 | — | |
2026 | — | |
2027 | — | |
此后 | — | |
应收金融账款,毛额 | 1,356.7 | |
在2022年,2021年和2020年, 不是不记录应收融资款项的任何预期信贷损失。于2022年12月31日,应收融资款项并无逾期或减值。
7. 库存,净额
会计政策
库存成本是按照先进先出的原则计算的。我们的库存价值包括采购材料、运费、关税、生产人工和管理费用。库存的估价包括根据我们的制造和组装设施的正常能力来确定哪些固定的生产间接成本应该计入库存。在生产低于我们既定的正常产能水平期间,我们的固定间接费用的一部分不包括在库存成本中;相反,它被确认为发生的销售成本。
根据对未来需求和市场状况的假设,存货以成本或可变现净值中的较低者进行估值。对存货的估价还要求我们为有缺陷、陈旧或过剩的存货建立准备金。我们使用我们的需求预测来制定制造计划,并利用这些信息与原材料、在制品和成品水平进行比较,以确定缺陷、过时或过剩库存的数量。
库存包括以下内容:
| | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
原料 | 2,668.3 | | | 3,198.9 | |
在制品 | 1,749.9 | | | 2,163.9 | |
成品 | 1,179.0 | | | 2,303.8 | |
库存,毛数 | 5,597.2 | | | 7,666.6 | |
库存储备 | (418.0) | | | (466.9) | |
库存,净额 | 5,179.2 | | | 7,199.7 | |
这个 与2021年相比,2022年的库存增加是由客户需求的增加推动的,这反映在2022年期间快速发货的数量增加。快速发货给我们客户的系统在SAT正式接受客户之前不会被确认为收入,因此仍然是ASML成品的一部分。此外,由于我们最新技术的成本上升和客户群不断扩大,2022年的库存有所增加。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 230 |
| 合并财务报表附注(续) | | | | |
存货储备变动摘要如下:
| | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
年初余额 | (473.2) | | | (418.0) | |
本年度的新增项目 | (180.7) | | | (278.5) | |
汇率变动的影响 | (6.1) | | | (1.1) | |
储量利用情况 | 242.0 | | | 230.7 | |
年终余额 | (418.0) | | | (466.9) | |
添加的内容2022, 2021年和2020记录在销售成本中。 年内增加主要与因技术发展及设计变更而过时的存货项目有关。
8. 其他资产
其他流动及非流动资产包括以下各项:
| | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
向Carl Zeiss SMT GmbH预付的款项1 | 288.5 | | | 479.9 | |
预付费用 | 374.3 | | | 678.6 | |
衍生金融工具2 | 52.2 | | | 17.3 | |
增值税应收账款 | 136.7 | | | 201.2 | |
其他资产 | 148.8 | | | 266.4 | |
其他流动资产: | 1,000.5 | | | 1,643.4 | |
| | | |
向Carl Zeiss SMT GmbH预付的款项1 | 694.3 | | | 620.4 | |
预付费用 | 41.0 | | | 32.4 | |
衍生金融工具2 | 47.3 | | | — | |
薪酬计划资产 | 81.4 | | | 71.1 | |
非流动应收账款 | 8.0 | | | — | |
其他资产 | 15.0 | | | 15.9 | |
其他非流动资产 | 887.0 | | | 739.8 | |
1.有关向Carl Zeiss SMT GmbH预付款项的进一步详情,请参阅附注26关联方及可变权益实体。
2.有关衍生金融工具的进一步详情,请参阅附注25财务风险管理。
预付费用主要包括ASML尚未实现的公司间存货利润的预付所得税,欧元515.3百万欧元(2021年:欧元261.2百万)。预付费用进一步包括预付维护费和与imec联合开发计划有关的合同余额,16.3截至2022年12月31日,百万美元(2021年:欧元30.3百万)。2018年底,我们与imec开始了新的联合开发计划,根据该计划,我们主要提供前期系统和服务,并在整个合同期内接受研发服务,直至2024年。
9. 权益法投资
会计政策
本集团可行使重大影响力但并无控制权的股本投资乃采用权益法入账,并于本集团的综合资产负债表中权益法投资呈列。于收购日,我们的投资成本与我们应占被投资单位相关净资产账面值的比例之间的差额为基准差额。基准差额乃根据可识别资产及负债于收购日期(即我们取得重大影响力之日期)之公平值分配至可识别资产及负债,而投资成本超出我们按比例按可识别资产及负债之公平值之差额为权益法商誉。
吾等按产生该差额的该等资产的估计剩余可使用年期摊销与其他无形资产有关的基准差额。所收购有限寿命无形资产的剩余加权平均寿命为 14.1以直线法摊销。进行中研发初始按公平值资本化为无限期无形资产。当研发项目完成时,其重新分类为可摊销购入无形资产,并按其估计可使用年期摊销。倘该项目被放弃,吾等将于放弃期间在吾等的综合经营报表中记录有关无形资产价值的全部基准差异支出。权益法商誉不予摊销或进行减值测试;相反,当有事件或情况变动显示投资账面值可能无法收回时,权益法投资会进行减值测试。
根据权益法,于初步按成本确认后,我们的权益法投资会就我们在被投资方损益及其他全面收益中所占的比例作出调整,并以四分之一的时滞确认,以便及时编制财务资料,并于权益法溢利投资中呈列。我们于被投资方损益中所占比例会就会计原则及政策、基准差异调整及实体内部溢利的任何差异作出调整。收取股息减少我们的权益法投资,根据分派性质呈列为经营现金流量s.
权益法投资包括: 24.9收购的股权百分比 2017年6月29日Carl Zeiss SMT Holding GmbH & Co. KG是一家有限合伙企业,拥有我们的光学柱供应商Carl Zeiss SMT GmbH。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 231 |
| 合并财务报表附注(续) | | | | |
截至2022年12月31日止年度,我们录得权益法投资溢利为欧元。138.0百万欧元(2021年:欧元199.1在我们的综合经营报表中。此溢利包括以下部分:
–利润€169.1百万欧元(2021年:欧元246.5与我们在Carl Zeiss SMT Holding GmbH & Co. KG的净利润份额相关,
–与无形资产相关的基础差摊销产生的成本,26.7百万欧元(2021年:欧元26.7百万美元)
–因公司间利润抵销而产生的成本,欧元4.4 百万(2021年:欧元20.7百万美元)
2022年,我们收到了欧元的股息178.7百万欧元(2021年:欧元168.0Carl Zeiss SMT Holding GmbH & Co. KG。
Carl Zeiss SMT Holding GmbH & Co. KG是一家私人控股公司;因此,其股票的市场报价不可用。
10. 业务合并和资产剥离
会计政策
收购附属公司乃按收购法入账。收购成本乃根据所转让代价按公平值、已分派可识别资产的公平值及于收购日期(即我们取得控制权当日)产生或承担的负债的公平值计量。商誉乃资本化为所收购附属公司成本扣除所收购可识别资产及所产生或承担负债所占金额后之差额。收购相关成本于产生期间或接受服务期间产生时支销。
企业合并
2020年10月30日,我们完成了对光学关键元件供应商Berliner Glas(ASML Berlin GmbH)的收购。我们通过收购获得了控制权 100已发行股本的%,总代价为 €257.1万.
总代价已分配至商誉 的 €87.9万,以欧元收购的资产312.1300万,和欧元承担的债务142.9万。或有对价于2021年以现金支付。收购柏林Glas(ASML柏林有限公司)产生的大部分商誉归因于这样一个事实,即此次收购将帮助我们实现我们的战略目标,即确保未来光刻系统的升级和推出。所有商誉都已分配给ASML报告股。所有确认的商誉预计都不能在所得税中扣除。
资产剥离
在2021年期间,我们出售了被收购的柏林Glas(ASML柏林GmbH)集团的非半导体业务。
这些出售的收益总计为欧元。339.42000万美元,主要与2021年11月30日出售医疗应用和瑞士光学业务有关。剩余的收益来自于2021年4月30日出售柏林Glas Technology Glas业务。
税前收益为欧元213.7在我们2021年综合业务报表的细目项目其他收入(损失)中记录的这些交易中确认了100万美元.
11. 商誉
会计政策
商誉是指收购成本超过被收购子公司在收购日分配给被收购子公司的资产和产生或承担的负债的公允价值的部分。收购附属公司的商誉被分配给报告单位进行减值测试。分配给预期将从产生商誉的业务合并中受益的报告单位。商誉按成本减去累计减值损失列报。
商誉每年或当事件或环境变化显示商誉的账面值可能无法收回时进行减值测试。为了确定是否有必要进行商誉减值量化测试,我们每年进行一次零步定性评估。如果我们确定报告单位的公允价值很可能超过其账面价值,我们不会进行商誉减值量化测试。
商誉主要来自对Cmer和HMI的收购。截至2022年12月31日的余额为欧元4,555.6百万欧元(2021年:欧元4,555.6百万)。
我们已经确定了二报告单位:ASML报告单位和Cymer光源报告单位。截至2022年12月31日,分配给报告单位ASML的商誉为欧元,4,093.3百万欧元(2021年:欧元4,093.3百万)和报告单位Cymer光源金额为欧元462.3百万欧元(2021年:欧元462.3百万)。
根据吾等于年度商誉减值测试中的评估,吾等相信报告单位的公平值极有可能超过其账面值,因此于二零二二年十二月三十一日商誉并无减值。截至2022年12月31日的累计减值为 零 (2021: 零).
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 232 |
| 合并财务报表附注(续) | | | | |
12. 无形资产,净额
会计政策
无形资产包括品牌、知识产权、已开发技术、客户关系及其他尚未可供使用的无形资产。该等有限年期无形资产按成本减累计摊销及累计减值亏损列账。摊销乃根据资产之估计可使用年期以直线法计算。
有限年限无形资产每年或在有迹象显示资产负债表账面金额可能无法收回时,按使用年限的现金流量预测进行减值评估。
下表显示了无形资产各自的使用年限:
| | | | | |
类别 | 预计使用寿命 |
品牌 | 20年份 |
知识产权 | 3–10年份 |
发达的技术 | 6–15年份 |
客户关系 | 8–18年份 |
其他 | 2–10年份 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 233 |
| 合并财务报表附注(续) | | | | |
截至2022年12月31日,无形资产主要包括通过收购HMI(2016)和Cmer(2013)获得的品牌、知识产权、开发的技术和客户关系:
| | | | | | | | | | | | | | | | | | | | |
欧元,以百万为单位 | 品牌 | 知识产权 | 发达的技术 | 客户关系 | 其他 | 总计 |
成本 | | | | | | |
2021年1月1日的余额 | 38.9 | | 144.8 | | 1,230.1 | | 228.6 | | 145.9 | | 1,788.3 | |
| | | | | | |
加法 | — | | — | | — | | — | | 45.6 | | 45.6 | |
撤资 | — | | — | | (9.9) | | — | | (0.8) | | (10.7) | |
处置 | — | | — | | — | | — | | (0.5) | | (0.5) | |
汇率变动的影响 | — | | — | | — | | — | | (0.2) | | (0.2) | |
2021年12月31日的余额 | 38.9 | | 144.8 | | 1,220.2 | | 228.6 | | 190.0 | | 1,822.5 | |
| | | | | | |
加法 | — | | 1.5 | | — | | — | | 32.5 | | 34.0 | |
| | | | | | |
处置 | — | | — | | — | | — | | (1.6) | | (1.6) | |
汇率变动的影响 | — | | 0.8 | | — | | — | | 1.6 | | 2.4 | |
2022年12月31日的余额 | 38.9 | | 147.1 | | 1,220.2 | | 228.6 | | 222.5 | | 1,857.3 | |
| | | | | | |
累计摊销 | | | | | | |
2021年1月1日的余额 | 11.1 | | 78.8 | | 510.7 | | 95.9 | | 42.9 | | 739.4 | |
摊销 | 1.9 | | 8.4 | | 84.2 | | 12.7 | | 25.8 | | 133.0 | |
| | | | | | |
撤资 | — | | — | | (0.9) | | — | | (0.4) | | (1.3) | |
处置 | — | | — | | — | | — | | (0.4) | | (0.4) | |
汇率变动的影响 | — | | — | | — | | — | | (0.3) | | (0.3) | |
2021年12月31日的余额 | 13.0 | | 87.2 | | 594.0 | | 108.6 | | 67.6 | | 870.4 | |
摊销 | 1.9 | | 8.6 | | 83.4 | | 12.7 | | 28.5 | | 135.1 | |
减值费用 | — | | — | | — | | — | | 9.2 | | 9.2 | |
| | | | | | |
处置 | — | | — | | — | | — | | (1.4) | | (1.4) | |
汇率变动的影响 | — | | — | | — | | — | | 1.6 | | 1.6 | |
2022年12月31日的余额 | 14.9 | | 95.8 | | 677.4 | | 121.3 | | 105.5 | | 1,014.9 | |
| | | | | | |
账面金额 | | | | | | |
2021年12月31日 | 25.9 | | 57.6 | | 626.2 | | 120.0 | | 122.4 | | 952.1 | |
2022年12月31日 | 24.0 | | 51.3 | | 542.8 | | 107.3 | | 117.0 | | 842.4 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 234 |
| 合并财务报表附注(续) | | | | |
综合经营报表包括以下摊销费用:
| | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
销售成本 | 101.8 | | 107.8 | | | 105.9 | |
研发成本 | 12.0 | | 14.5 | | | 18.2 | |
SG&A | 9.7 | | 10.7 | | | 11.0 | |
全额摊销 | 123.5 | | 133.0 | | | 135.1 | |
截至2022年12月31日,包括在其他项目中的尚未可供使用的无形资产o €34.0百万欧元(2021年:欧元23.6百万美元),并分配给报告股反兴奋剂机构。
在2022年期间,我们记录了欧元9.22000万欧元减值费用(2021年:欧元000万; 2020: €000万).
截至2022年12月31日,预计未来五年无形资产摊销费用和D其后的情况如下:
| | | | | |
欧元,以百万为单位 | 金额 |
2023 | 130.8 | |
2024 | 124.8 | |
2025 | 119.3 | |
2026 | 113.0 | |
2027 | 109.1 | |
此后 | 245.4 | |
总计 | 842.4 | |
13. 财产、厂房和设备、净值
会计政策
物业、厂房及设备按成本减累计折旧及累计减值亏损列账。ASML制造的资产成本包括直接制造成本、生产间接费用及于建造期间就合资格资产产生的利息成本。物业、厂房及设备于综合经营报表内按估计可使用年期以直线法折旧,惟不折旧的土地除外。
根据经营租赁租予客户的评估系统按成本资本化为物业、厂房及设备,并于各自租赁期内折旧。租赁期届满时退回ASML的租赁资产,或被收回至物业、厂房及设备,因其将由D & E内部使用,或转回存货进行返工及出售。
拟出售但首先在内部使用超过 一年为研发目的,由存货重新分类至物业、厂房及设备,并在内部使用时折旧。当不再需要进行研发活动时,资产的账面值会重新分类回存货,并进行重新加工,以备出售予客户。该等转拨于物业、厂房及设备移动时间表内呈报为非现金净变动。
当有迹象显示账面值可能无法收回时,物业、厂房及设备使用可使用年期的现金流量预测进行减值评估。
下表显示了财产、厂房和设备各自的使用年限:
| | | | | |
类别 | 预计使用寿命 |
建筑物和施工 | 5–45年份 |
机器和设备 | 1–7年份 |
租赁权改进 | 1–10年份 |
家具、固定装置和其他 | 3–5年份 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 235 |
| 合并财务报表附注(续) | | | | |
不动产、厂房和设备由以下部分组成:
| | | | | | | | | | | | | | | | | |
欧元,以百万为单位 | 土地和 建筑 | 机械设备 和设备 | 租赁权 改进 | 家具、固定装置 及其他 | 总计 |
成本 | | | | | |
2021年1月1日的余额 | 2,432.2 | | 1,828.9 | | 340.3 | | 420.6 | | 5,022.0 | |
| | | | | |
加法 | 372.7 | | 389.6 | | 33.2 | | 65.3 | | 860.8 | |
撤资 | (17.9) | | (13.4) | | — | | (4.7) | | (36.0) | |
处置 | (0.5) | | (199.1) | | (7.5) | | (70.3) | | (277.4) | |
进出库存的非现金净额 | — | | 11.9 | | — | | — | | 11.9 | |
汇率变动的影响 | 17.2 | | 10.8 | | 2.6 | | 3.2 | | 33.8 | |
2021年12月31日的余额 | 2,803.7 | | 2,028.7 | | 368.6 | | 414.1 | | 5,615.1 | |
| | | | | |
加法 | 510.9 | | 665.4 | | 34.4 | | 87.6 | | 1,298.3 | |
| | | | | |
处置 | (1.3) | | (42.2) | | (1.0) | | (3.0) | | (47.5) | |
进出库存的非现金净额 | — | | 129.2 | | — | | — | | 129.2 | |
汇率变动的影响 | 0.7 | | (3.5) | | (1.2) | | (1.7) | | (5.7) | |
2022年12月31日的余额 | 3,314.0 | | 2,777.6 | | 400.8 | | 497.0 | | 6,989.4 | |
| | | | | |
累计折旧和减值 | | | | | |
2021年1月1日的余额 | 842.6 | | 1,126.2 | | 297.3 | | 285.6 | | 2,551.7 | |
折旧 | 95.6 | | 167.1 | | 15.9 | | 43.0 | | 321.6 | |
减值费用 | 3.1 | | 8.2 | | 0.2 | | — | | 11.5 | |
撤资 | (0.6) | | (4.4) | | — | | (2.5) | | (7.5) | |
处置 | (0.4) | | (181.2) | | (3.9) | | (69.7) | | (255.2) | |
进出库存的非现金净额 | — | | (7.9) | | — | | — | | (7.9) | |
汇率变动的影响 | 7.4 | | 7.6 | | 1.5 | | 1.7 | | 18.2 | |
2021年12月31日的余额 | 947.7 | | 1,115.6 | | 311.0 | | 258.1 | | 2,632.4 | |
| | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 236 |
| 合并财务报表附注(续) | | | | |
| | | | | | | | | | | | | | | | | |
欧元,以百万为单位 | 土地和 建筑 | 机械设备 和设备 | 租赁权 改进 | 家具、固定装置 及其他 | 总计 |
折旧 | 134.8 | | 232.6 | | 21.9 | | 55.9 | | 445.2 | |
减值费用 | 10.9 | | 6.4 | | 0.5 | | — | | 17.8 | |
| | | | | |
处置 | (2.3) | | (29.5) | | (0.9) | | (2.4) | | (35.1) | |
进出库存的非现金净额 | — | | (10.9) | | — | | — | | (10.9) | |
汇率变动的影响 | (0.5) | | (1.9) | | (0.6) | | (1.2) | | (4.2) | |
2022年12月31日的余额 | 1,090.6 | | 1,312.3 | | 331.9 | | 310.4 | | 3,045.2 | |
| | | | | |
账面金额 | | | | | |
2021年12月31日 | 1,856.0 | | 913.1 | | 57.6 | | 156.0 | | 2,982.7 | |
2022年12月31日 | 2,223.4 | | 1,465.3 | | 68.9 | | 186.6 | | 3,944.2 | |
截至2022年12月31日,账面值包括在建资产欧元,869.8百万欧元(2021年:欧元695.9100万)主要包括建筑物,以及机械和设备。
截至2022年12月31日,土地账面值为欧元。178.7百万欧元(2021年:欧元137.5百万)。
二零二二年增加的土地及楼宇,以及家具、固定装置及其他主要与于Veldhoven总部兴建EUV 0. 55 NA(High—NA)厂房及办公空间有关,以支持我们的持续增长。
二零二二年增加的机械及设备主要与升级及扩充生产工具以支持业务增长,以及投资于新技术原型。
二零二二年租赁物业装修之新增主要与安装位于美国及台湾之租赁物业之洁净室及办公空间有关。2022年,我们进入 23将需要进一步租赁改善投资金额为欧元的租赁33.3百万美元。
综合经营报表包括下列折旧费用:
| | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
销售成本 | 205.9 | | 188.6 | | | 248.2 | |
研发成本 | 119.9 | | 101.4 | | | 163.7 | |
SG&A | 25.9 | | 31.6 | | | 33.3 | |
折旧总额 | 351.7 | | 321.6 | | | 445.2 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 237 |
| 合并财务报表附注(续) | | | | |
14. 使用权资产和租赁负债
会计政策
我们于开始时厘定安排是否包含租赁。租赁包括在使用权资产、应计及其他流动负债、应计及其他非流动负债、长期债务的流动部分以及我们的长期债务中。 合并资产负债表.
使用权资产指我们于租赁期内使用相关资产的权利,而租赁负债指我们支付租赁产生的租赁付款的责任。使用权资产及租赁负债于开始日期根据租赁期内租赁付款的现值确认。由于我们的租赁并无提供隐含利率,我们使用基于开始日期可得资料的增量借款利率厘定租赁付款的现值。使用权资产包括于开始日期或之前作出的任何租赁付款,并因租赁优惠而减少。我们的使用权资产及租赁负债估值可能包括在合理确定我们将行使该选择权时延长或终止租赁的选择权。经营租赁之租赁开支于租期内以直线法确认。
我们拥有租赁及非租赁部分的租赁协议。租赁组成部分与非租赁组成部分分开入账。代价于租赁及非租赁组成部分之间的分配乃基于租赁合约所载租赁组成部分的相对独立价格。
使用权资产包括以下租赁:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
属性 | 149.7 | | | 148.9 | |
汽车 | 6.7 | | | 5.1 | |
装备 | — | | | — | |
仓库 | 7.5 | | | 38.0 | |
其他 | 0.9 | | | 0.7 | |
使用权资产 | 164.8 | | | 192.7 | |
ASML拥有我们在荷兰Veldhoven总部用于制造、供应链管理和一般管理的大部分房地产。在我们全球的其他地点,我们占用的大部分物业均为租赁。
租赁负债分为流动及非流动。非流动部分主要包括物业及仓库。截至2022年12月31日止年度,经营租赁安排项下的租赁负债增加欧元。35.0百万元,主要由于二零二二年开始新租赁仓库所致。
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
当前 | 46.6 | | | 47.6 | |
非当前 | 120.3 | | | 151.5 | |
租赁负债 | 166.9 | | | 199.1 | |
综合经营报表包括以下与该等租赁有关的折旧费用:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
属性 | 51.7 | | 52.2 | | | 52.3 | |
汽车 | 5.5 | | 4.8 | | | 2.7 | |
装备 | 7.0 | | — | | | — | |
仓库 | 6.6 | | 3.0 | | | 4.0 | |
其他 | 5.9 | | 2.4 | | | 1.4 | |
使用权资产折旧费用 | 76.7 | | 62.4 | | | 60.4 | |
与租赁负债有关的现金流量总额如下:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
总现金流 | 61.7 | | 68.9 | | | 57.9 | |
与租赁相关的加权平均剩余租期和加权平均贴现率如下:
| | | | | | | | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
加权平均剩余租期(月) | 147 | 62 | | 67 |
加权平均贴现率(%) | 1.3 | % | 1.9 | % | | 2.2 | % |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 238 |
| 合并财务报表附注(续) | | | | |
15. 应计负债和其他负债
应计负债及其他负债包括以下各项:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
须缴付的讼费1 | 352.0 | | | 511.6 | |
与人员有关的项目 | 864.7 | | | 1,070.9 | |
衍生金融工具2 | 2.8 | | | 261.2 | |
经营租赁负债3 | 161.7 | | | 196.7 | |
条文 | 91.2 | | | 90.5 | |
标准保修准备金 | 145.3 | | | 143.6 | |
其他 | 68.9 | | | 56.3 | |
应计负债和其他负债 | 1,686.6 | | | 2,330.8 | |
减去:应计负债和其他负债的非流动部分 | 251.1 | | | 454.9 | |
应计负债和其他负债的当期部分。 | 1,435.5 | | | 1,875.9 | |
1.将支付的成本包括应付关联方的金额。有关进一步详情,请参阅附注26关连人士及可变权益实体。
2.有关衍生金融工具的进一步详情,请参阅附注25财务风险管理。
3.有关以下内容的更多详细信息租赁负债见附注14使用权资产及租赁负债。
于2022年12月31日将支付的成本包括应付增值税及供应商提供的未计费服务(包括合约劳工、外包服务及咨询)的应计成本。待支付成本指ASML截至报告日期对合同负债的估计,将根据相关条款和条件在未来期间结算。
员工相关项目主要包括应计年度短期奖励奖金计划、应计假期、应计退休金费、应计工资税及应计假期津贴。应计人员相关项目较去年增加,主要是由于雇员人数增加,以支持业务的持续增长。
标准保修准备金是基于产品历史性能和履行保修义务的预期总成本。我们每年都会根据最新的实际历史保修成本和预期的未来保修成本评估和更新标准保修储备。 于二零二二年及二零二一年,标准保修储备的总变动如下:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
年初余额 | 119.1 | | | 145.3 | |
本年度的新增项目 | 188.6 | | | 191.5 | |
储量利用情况 | (162.8) | | | (193.5) | |
| | | |
汇率的影响 | 0.4 | | | 0.3 | |
年终余额 | 145.3 | | | 143.6 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 239 |
| 合并财务报表附注(续) | | | | |
16. 长期债务、利息和其他成本
会计政策
长期债务是指未经政府机关登记而私下发行的债务,并根据已签署的协议条款支付给其他人。长期债务初始按公允价值确认,其后按摊余成本计量。只要本集团有无条件权利将负债的清偿延迟至报告期后最少12个月,债务即符合资格为长期债务。
与长期债务有关的应计利息及付款均作为应计负债及其他负债的一部分入账。利息和其他费用应在商定的期限内随时间的推移而累计并记录下来,无论利息何时收到或支付。
长期债务由以下部分组成:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
€500百万0.625%优先票据于2016年7月发行,本金于2022年7月7日到期,每年利息于7月7日支付,账面值 | 500.5 | | | — | |
€750万3.375%优先票据于二零一三年九月发行,本金于二零二三年九月十九日到期,每年利息于九月十九日支付,账面值 | 780.6 | | | 744.6 | |
€1,000万1.375%优先票据于2016年7月发行,本金于2026年7月7日到期,每年利息于7月7日支付,账面值 | 1,003.2 | | | 893.9 | |
€750万1.625%优先票据于2016年11月发行,本金于2027年5月28日到期,每年利息于5月28日支付,账面值 | 769.3 | | | 666.8 | |
€750万0.250%优先票据于2020年2月发行,本金于2030年2月25日到期,每年利息于2月25日支付,账面值 | 741.7 | | | 742.7 | |
€750万0.625%于二零二零年五月发行及本金于二零二九年五月七日到期的优先票据每年利息于五月七日支付,账面值 | 747.1 | | | 747.5 | |
€500百万2.250%优先票据于2022年5月17日发行,本金于2032年5月17日到期每年利息,账面值 | — | | | 440.3 | |
从Berliner Glas(ASML Berlin GmbH)获得的债务 | 36.4 | | | 22.3 | |
其他 | 5.3 | | | 2.3 | |
长期债务 | 4,584.1 | | | 4,260.4 | |
减去:长期债务的当前部分 | 509.1 | | | 746.2 | |
长期债务的非流动部分 | 4,075.0 | | | 3,514.2 | |
所有优先票据可由ASML选择,随时支付全部溢价赎回,全部或部分,除非先前赎回,否则将按以下价格赎回: 100在到期日的本金额的%。
截至2022年12月31日,我们根据优先票据及其他借贷安排(不包括利息开支)偿还本金的责任:
| | | | | |
欧元,以百万为单位 | 金额 |
2023 | 753.8 | |
2024 | 2.0 | |
2025 | 2.0 | |
2026 | 1,002.0 | |
2027 | 752.0 | |
此后 | 2,012.9 | |
总债务到期日 | 4,524.7 | |
于二零二三年,该等责任主要与偿还于二零二三年九月十九日到期的优先票据的本金有关。其后年度主要与偿还长期优先票据之本金有关。
欧元债券
下表概述我们的未偿还欧元债券的账面值,包括用于对冲欧元债券公平值变动的利率掉期的公平值:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
摊销成本金额 | 4,478.5 | | | 4,479.0 | |
公允价值利率互换1 | 63.9 | | | (243.2) | |
账面金额 | 4,542.4 | | | 4,235.8 | |
1.利率互换的公允价值不包括应计利息。
我们使用利率掉期,通过调整可用现金及计息债务的利息条款,将本集团的净利息风险降至最低。该等利率掉期之公平值变动记录于综合资产负债表之流动及非流动应计负债及其他负债,而欧元债券之账面值已就该等公平值变动作出调整。我们并无就二零二零年发行的欧元债券订立额外利率互换协议.
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 240 |
| 合并财务报表附注(续) | | | | |
下表汇总了我们的欧元债券的估计公允价值:
| | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
本金金额 | 4,500.0 | | | 4,500.0 | |
账面金额 | 4,542.4 | | | 4,235.8 | |
公允价值1 | 4,673.9 | | | 4,072.8 | |
1.来源:彭博财经有限责任公司。
我们的欧元债券的公允价值是根据截至2022年12月31日的报价市场价格估计的。公允价值偏离本金金额,是由于我们发行了固定票面利率的欧元债券以来,市场利率和信用利差发生了变化。
从Berliner Glas(ASML Berlin GmbH)获得的债务
柏林格拉斯(ASML柏林GmbH)的贷款是欧元的抵押贷款22.3百万,年利率为0.5%,2034年偿还。债务与以下方面相比有所下降2021,由于在#年偿还款项2022.
信用额度
我们与多家银行保持着可用的承诺信贷安排,€700.0百万截至2022年12月31日和截至2021年12月31日。不是在2022年底和2021年底,承诺信贷安排下的未偿还金额。欧元的这种便利700.0百万于2019年7月3日重新谈判,原始到期日为2024年7月3日。该设施包括二1年延期选项。第二次延长一年是在2021年6月。这将期限从2025年7月延长至2026年7月。根据这一信贷安排,未偿还金额将产生Euribor加保证金的利息。利润取决于我们的信用评级和ESG分数。
我们有一项未承诺的担保机制,€85.0百万在这种情况下,可以向第三方提供正常业务过程中的担保,如海关担保或租金担保。截至2022年12月31日,一笔欧元23.4已经提供了100万英镑作为担保。此外,ASML还为我们的欧元中国子公司提供了一项未承诺的信贷安排130.0百万美元。未承诺信贷安排包括银行担保、备用信用证以及最高可达欧元的预付款。75.0百万美元。不是在这项贷款下有未偿还的金额。未承诺贷款项下的未偿还金额将根据提款时的市场情况计入利息。
利息和其他,净额
利息和其他,净额主要由利息收入和利息费用组成。2022年,利息支出部分为欧元60.8百万欧元(2021年:欧元54.6百万;2020年:欧元43.3百万)。该等开支主要与我们的欧元债券利息开支、利率掉期及对冲、摊销融资成本以及现金及现金等价物的负利息有关。
17. 承付款和或有事项
承付款
我们有多项合约责任,其中部分须在综合资产负债表中记录为负债,包括长期及短期债务及租赁承担。其他合约责任,即无条件购买责任,一般无须确认为负债,但须予以披露。
我们于2022年12月31日的合约责任概述如下:
| | | | | | | | | | | | | | | | | | | | | | | |
按期间开列的应付款(欧元,百万美元) | 总计 | 1年 | 2年 | 3年 | 4年 | 5年 | > 5年 |
长期债务,包括利息1 | 4,837.1 | | 823.5 | | 46.3 | | 46.4 | | 1,046.3 | | 782.4 | | 2,092.2 | |
租赁义务2 | 199.1 | | 49.9 | | 37.4 | | 28.8 | | 24.7 | | 21.1 | | 37.2 | |
购买义务 | 11,815.1 | | 9,703.9 | | 1,152.5 | | 729.9 | | 165.5 | | 51.1 | | 12.2 | |
合同债务总额 | 16,851.3 | | 10,577.3 | | 1,236.2 | | 805.1 | | 1,236.5 | | 854.6 | | 2,141.6 | |
1.长期债务责任主要与我们的欧元债券的本金额及利息支付有关。有关不包括利息开支的金额,详情见附注16长期债务及利息及其他成本。
2.详情见附注14:使用权资产和租赁负债。
在正常业务过程中,我们对供应商负有采购义务,主要涉及我们运营所需的商品和服务。截至2022年12月31日,与我们购买义务的主要部分相关的协议的一般条款和条件包含一些条款,使我们能够根据未来销售的时间,将订购的商品和服务的交付推迟或取消到采购协议中指定的日期。我们通常与供应商商定的条款和条件给了我们额外的灵活性来调整我们的采购义务根据我们所在行业固有的周期性和技术发展,满足我们的要求。
或有事件
ASML受到诉讼程序、诉讼和其他实际或潜在的索赔,包括与潜在违反法律和法规有关的索赔。ASML的客户可能会受到第三方的侵权指控,指控这些客户在半导体产品制造中使用的ASML设备和/或与ASML设备的使用相关的方法侵犯了向这些第三方颁发的一项或多项专利。如果这些索赔成功,ASML可能被要求赔偿这些客户因该侵权行为而遭受的部分或全部损失或评估的损害。此外,反洗钱被中国的一名(现)前雇员挪用了与专有技术有关的数据。尽管我们不认为挪用公款对我们的业务有实质性影响,但可能违反了某些出口管制规定。ASML已向有关部门报告了这一事件。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 241 |
| 合并财务报表附注(续) | | | | |
对于任何诉讼和索赔,我们的管理层根据相关事实和法律原则评估不利(或有利)结果的可能性,以及损失(或收益)的金额是否可以合理估计。在这些评价中需要作出判断,包括对所称索赔的有效性以及法律和行政诉讼的可能结果作出判断。然而,这些诉讼的结果受到一些我们无法控制的因素的影响,最明显的是与法院和行政机构的预测决定有关的不确定性。此外,对与法律和行政诉讼有关的潜在费用(或收益)的估计常常不能进行任何敏感性分析,因为索赔人提出的损害估计或和解提议可能与最终结果几乎没有关系。最后,在任何特定诉讼中,我们可能同意和解或终止我们认为最终会胜诉的索赔或诉讼,如果我们认为这样做,与其他相关的商业考虑因素结合在一起,比参与昂贵和旷日持久的诉讼更有效,而诉讼的结果是不确定的。
截至2022年12月31日,管理层已确定ASML没有任何重大或有事项在我们的综合资产负债表中列报的每一年都被认为是可能或合理可能的。
18. 人员费用和员工信息
所有工资单雇员的人事费用如下:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
工资和薪金 | 2,519.6 | | 2,842.7 | | | 3,502.5 | |
社会保障费用 | 208.1 | | 249.8 | | | 300.7 | |
退休金和退休费用 | 182.6 | | 229.2 | | | 255.9 | |
基于股份的支付 | 53.9 | | 117.5 | | | 68.9 | |
人员费用 | 2,964.2 | | 3,439.2 | | | 4,128.0 | |
人事开支持续增加主要由于增加薪金雇员以支持业务持续增长所致。2020年的人事开支不包括Berliner Glas(ASML Berlin GmbH)的任何开支,因为ASML合并Berliner Glas(ASML Berlin GmbH)使用四分之一的滞后时间。
全职员工的平均薪资人数为:
| | | | | | | | | | | | | | |
全职员工的平均薪资员工数 | 2020 | 2021 | | 2022 |
荷兰 | 12,812 | | 14,222 | | | 16,722 | |
全球(包括荷兰) | 24,727 | | 28,223 | | | 33,071 | |
截至12月31日,每个行业FTE的工资单和临时雇员总数为:
| | | | | | | | | | | | | | |
截至12月31日的年度(全日制) | 2020 | 2021 | | 2022 |
客户支持 | 6,429 | | 7,485 | | | 8,901 | |
制造业与供应链管理 | 7,680 | | 8,237 | | | 9,953 | |
战略供应管理 | 346 | | 707 | | | 1,541 | |
一般和行政 | 2,061 | | 2,761 | | | 3,768 | |
销售和成熟的产品和服务 | 744 | | 766 | | | 742 | |
研究与发展 | 10,813 | | 12,060 | | | 14,181 | |
总计 | 28,073 | | 32,016 | | | 39,086 | |
减:临时雇员 | 1,459 | | 2,155 | | | 2,974 | |
领薪雇员 | 26,614 | | 29,861 | | | 36,112 | |
短期奖励奖金计划
我们为员工制定年度与表现相关的短期奖励(STI)花红计划。根据该等计划,雇员花红支付取决于雇员的职务级别、花红计划的类型及公司/个人的表现。员工奖金支付(不包括管理委员会)范围为 0%和126年基本工资总额的%。2022年STI花红作为综合资产负债表内应计及其他负债的一部分,并将于2023年第一季度支付。
(前)管理委员会及其他雇员的STI花红开支如下:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
管理委员会 | 5.4 | | 4.4 | | | 3.8 | |
前管理委员会 | — | | 0.2 | | | — | |
其他员工 | 402.5 | | 423.5 | | | 629.6 | |
STI奖金支出总额 | 407.9 | | 428.1 | | | 633.4 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 242 |
| 合并财务报表附注(续) | | | | |
19. 员工福利
会计政策
向界定供款退休福利计划作出之供款于雇员提供服务而有权享有供款时确认为开支。向国家管理的退休福利计划作出的付款被视为向定额供款计划作出的付款,而我们于该等计划项下的责任相当于定额供款退休福利计划产生的责任。
我们坚持认为一多雇主工会固定收益养老金计划和各种其他固定缴费养老金计划覆盖了我们的大部分员工。ASML对其多雇主定义的福利计划进行会计处理,就好像它是一个定义的缴款计划,原因如下:
–ASML隶属于一个全行业的养老基金,并与其他参与公司共同使用养老金计划
–根据养老金计划的规定,这些参与公司对养老基金唯一的义务是支付年度保费责任。参与计划的公司没有任何义务来支付养老金计划可能产生的任何赤字。他们也没有声称有任何潜在的盈余。
截至2022年、2021年和2020年12月31日的年度,我们为所有员工支付的养老金和退休费用为:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
基于多雇主工会计划的养老金计划 | 126.8 | | 161.7 | | | 181.2 | |
基于固定缴款和其他计划的养老金计划 | 55.8 | | 67.5 | | | 74.7 | |
退休金和退休费用 | 182.6 | | 229.2 | | | 255.9 | |
应计退休金保费为 €53.2百万截至2022年12月31日及€10.8百万截至2021年12月31日。
多雇主工会计划
根据对我们经营的行业有效的集体谈判协议,有18,631荷兰符合资格的薪资雇员人数(51.6参与多雇主工会计划的员工总数的百分比)。我们的多雇主工会计划的任何期间的定期净养老金成本是该期间所需的雇主缴费金额。
这项多雇主工会计划由PME(Stichting Pensioenfonds Van De Metalektro)管理,该计划涵盖大约1,565公司和大约 173,743贡献成员。每个参与公司按相同的缴款率缴纳保费。这一缴款率每年根据多雇主工会计划的覆盖率波动。2022年,贡献百分比为 28.0% (2021:
27.6%, 2020: 22.7%). 2022年,我们对该多雇主工会计划的供款(包括雇员支付的保费)为 15.7% (2021: 13.6%, 2020: 14.0%)对该计划的贡献。2023年,我们预计将贡献约€300.0 100万元(包括雇员支付的保险费)。每个雇员的养恤金权利以雇员受雇期间的平均工资为基础。
PME多雇主工会计划在全球范围内监测其风险,并受荷兰政府当局的监管。根据荷兰法律(《荷兰养恤金法》),必须根据具体标准对多雇主工会计划进行监测,包括计划资产与义务的覆盖率。保险覆盖率乃按实际市场利率计算,以基金资本除以退休金负债总额计算。法律规定的最低覆盖率为 104.3% (2021: 104.3%).二零二二年,PME覆盖率有所改善, 110.4%2022年12月31日(2021年12月31日: 107.9%).
固定缴款和其他养恤金计划
我们亦参与多项其他定额供款退休金计划(荷兰境内及境外),该等计划的开支相等于有关期间的雇主供款。
递延补偿计划
对于更高级的美国员工,我们有一个不合格的递延补偿计划,允许他们推迟一部分工资,奖金和佣金。该计划允许我们将额外金额记入参与者的账户结余。参与者将其资金分配给计划中可用的投资。参与人至少选择在终止雇用或选择离职(以较早者为准)以后的各期领取资金, 3几年后,推迟。费用接近, 零2022年、2021年和2020年,截至2022年12月31日,我们在递延补偿计划下的负债为欧元70.5百万欧元(2021年:欧元82.4百万)。相关补偿计划资产为欧元71.1百万欧元(2021年:欧元81.4百万)。
20. 基于股份的薪酬
ASML为员工制定了以下计划:
–长期激励奖金计划
–期权计划
–员工购买计划
长期激励奖金计划
我们的LTI计划由一项总体员工伞形股票计划涵盖,该计划自2014年1月1日起生效,覆盖所有员工。根据这项员工保护伞计划授予股权激励的主要目的是继续在国际劳动力市场吸引、奖励和留住合格和经验丰富的行业专业人员。员工保护伞股票计划下的所有授予通常都有2.5至3年归属期,并受业绩和/或服务标准的限制。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 243 |
| 合并财务报表附注(续) | | | | |
作为我们长期激励(LTI)奖金的一部分,员工可以获得基于服务或绩效份额的薪酬计划。对于服务型计划,股票在授予日期授予,在服务了一段时间后,参与者在授予日期获得这些股票。对于绩效计划,相同的条件适用于服务类型计划。此外,股票是根据公司特定的业绩标准有条件地授予和奖励的,这些标准可以分为市场因素和非市场因素。这些股份在服务期结束后归属,并在归属日达到业绩。
大会批准通过管理委员会的最新薪酬政策和拟发行的股票数量。最新的薪酬政策包括LTI计划的目标和最高水平、业绩衡量标准和支付区百分比。针对员工的政策由管理委员会批准。股东大会还批准了对董事会发行/授予普通股的限制和限制、限制或排除股东应计优先购买权的限制以及代表公司回购普通股的限制和限制。
下表显示了2022年批准的LTI业绩计划的业绩标准和相应的权重。
| | | | | | | | |
LTI绩效计划标准 | 市场/非市场要素 | 重量 |
相对TSR | 市场 | 30% |
现金转换率%(3年平均值) | 非市场化 | 30% |
技术领先指数 | 非市场化 | 20% |
ESG措施 | 非市场化 | 20% |
总计 | | 100% |
会计政策
基于市场的要素的公允价值在授予日纳入预期归属和预期归属价值,使用量身定制的蒙特卡罗模拟模型进行计量。服务计划及表现计划的非市场成分的公允价值为授出日的股价减去归属期间预期股息的现值,因为参与者于归属期间无权享有应付股息及投票权。服务和非市场业绩计划的条件得到满足的可能性被评估为该公司对最终将授予的股权工具数量的最佳估计的一部分。
参与者有权在授予时获得有条件的公司股票授予。绩效计划受到悬崖归属的影响,并按直线记账。纯服务计划受分级归属的影响。因此,该计划的每一期都被视为具有单独公允价值的单独赠款。这意味着每笔分期付款都将单独计量,并计入相关归属期间的费用。基于市场的要素的费用在归属期间以固定的归属水平确认(如同归属期望是
计入公允价值),前提是满足所有其他履约条件。非市场元素及服务计划的开支于归属期间按预期归属水平确认,并于归属期间按需要更新,最后更新/调整于归属日期。在奖励归属期间,所有以股份为基础的薪酬支出均确认为人事支出,并在权益中进行相应的入账。以股份为基础的薪酬开支计入职能分组综合经营报表中与支付予以股票为基础的奖励的雇员的薪酬相同的一个或多个损益表行。
下表列出了计算LTI业绩计划股票公允价值的最重要假设,其中包括基于市场的业绩标准:
| | | | | | | | | | | | | | |
截至2013年12月31日的年度 | 2020 | 2021 | | 2022 |
授权日以欧元计算的股价 | 270.7 | | 462.9 | | | 548.0 | |
预期波动率ASML | 28.9 | % | 38.5 | % | | 41.8 | % |
预期波动率PHLX指数 | 24.7 | % | 35.3 | % | | 不适用 |
同业群体的平均波动率(市场惯例) | 不适用 | 不适用 | | 47.8 | % |
归属期间 | 2.9年份 | 2.9年份 | | 2.7年份 |
股息率 | 0.9 | % | 0.6 | % | | 1.0 | % |
无风险利率(欧元区) | (0.6) | % | (0.8) | % | | 0.5 | % |
无风险利率(美国) | 1.5 | % | 0.2 | % | | 2.8 | % |
包括管理委员会在内的长期投资计划的开支如下:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
已发生费用总额 | 53.9 | | 117.5 | | | 68.9 | |
确认所得税优惠(不包括超额所得税优惠) | 6.6 | | 8.2 | | | 10.2 | |
未来期间的预计费用总额 | 85.9 | | 125.4 | | | 113.0 | |
确认这些预期费用的加权平均期间 | 1.6年份 | 1.7年份 | | 1.4年份 |
有关年内授出及归属股份之详情载于下表:
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| 欧元计价 | 以美元计价 |
截至2013年12月31日的年度 | 2020 | 2021 | | 2022 | 2020 | 2021 | | 2022 |
年内归属股份于归属日期之公平值总额(以百万计): | 124.9 | | 156.9 | | | 120.6 | | 133.9 | | 164.0 | | | 149.6 | |
已授予股份的加权平均公允价值 | 297.05 | | 547.79 | | | 578.65 | | 302.75 | | 498.64 | | | 553.61 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 244 |
| 合并财务报表附注(续) | | | | |
有条件发行在外股份于二零二二年十二月三十一日的状况及截至二零二二年十二月三十一日止年度的变动概要呈列如下:
| | | | | | | | | | | | | | |
| 欧元计价 | 以美元计价 |
| 数 的股份 | 加权 平均值 公允价值按 授予日期 | 数 的股份 | 加权 平均值 公允价值按 授予日期 |
2022年1月1日已发行的有条件股份 | 452,205 | | 303.32 | | 297,001 | | 416.07 | |
授与 | 88,432 | | 578.65 | | 230,568 | | 553.61 | |
既得 | (239,685) | | 247.17 | | (273,861) | | 418.03 | |
被没收 | (8,187) | | 239.82 | | (15,314) | | 487.93 | |
于2022年12月31日已发行的有条件股份 | 292,765 | | 434.10 | | 238,394 | | 542.22 | |
期权计划
自二零一七年起,我们不再授出任何购股权,但仍有尚未行使的购股权可由雇员行使。
会计政策
购股权于授出日期之公平值乃采用柏力克—舒尔斯期权估值模式估计。此柏力克—舒尔斯模式要求使用假设,包括预期股价波动、各奖励之估计年期及估计股息率。该模式所用无风险利率乃根据以欧元计值且信贷评级高且年期等于以股权结算以股份支付之预期年期之欧洲政府机构债券所填充之指数厘定。我们的期权计划通常授予 3—一年服务期,任何未行使的股票期权到期 10在授予日期之后的几年。授出之购股权之固定行使价相等于本公司于阿姆斯特丹泛欧交易所上市之股份于授出日期之收市价。按行使价购买股份乃透过扣除有关雇员薪金而与其结算,而行使购股权时发行股份则从库存股份中扣除。
有关已行使及尚未行使购股权之详情载于下表:
| | | | | | | | | | | | | | | | | | | | | | | | | | |
| 欧元计价 | 以美元计价 |
截至2013年12月31日的年度 | 2020 | 2021 | | 2022 | 2020 | 2021 | | 2022 |
| | | | | | | | |
股票期权行权日加权平均股价 | 302.20 | | 583.33 | | | 494.14 | | 355.44 | | 658.16 | | | 565.39 | |
行使股票期权的内在价值合计(单位:百万) | 4.8 | | 5.7 | | | 4.4 | | 3.7 | | 4.1 | | | 1.6 | |
现时可行使购股权之加权平均剩余合约年期(年): | 3.55 | 2.81 | | 2.08 | 3.66 | 2.93 | | 2.09 |
可行使股票期权的总内在价值(单位:百万) | 22.4 | | 36.7 | | | 20.3 | | 16.9 | | 24.9 | | | 14.6 | |
已发行股票期权的内在价值合计(单位:百万) | 22.4 | | 36.7 | | | 20.3 | | 16.9 | | 24.9 | | | 14.6 | |
于二零二二年十二月三十一日之购股权数目及加权平均行使价,以及截至该日止年度之变动呈列如下:
| | | | | | | | | | | | | | |
| *以欧元计价 | 以美元计价 |
| 数 选项 | 加权 平均值 行权价格 每股普通 份额(欧元) | 数 选项 | 加权 平均值 行权价格 每股普通 份额(美元) |
未偿还,2022年1月1日 | 57,923 | | 73.87 | | 35,251 | | 90.36 | |
授与1 | — | | — | | — | | — | |
已锻炼 | (10,016) | | 55.49 | | (3,113) | | 64.73 | |
被没收 | — | | — | | — | | — | |
过期 | (300) | | 40.03 | | — | | — | |
未清偿,2022年12月31日 | 47,607 | | 77.95 | | 32,138 | | 92.84 | |
可行使,2022年12月31日 | 47,607 | | 77.95 | | 32,138 | | 92.84 | |
1.截至2017年,我们不再向员工授出购股权。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 245 |
| 合并财务报表附注(续) | | | | |
有关于有关年度行使之购股权及于二零二二年十二月三十一日尚未行使之购股权详情载于下表:
| | | | | | | | | | | | | | | | | |
欧元计价 | 以美元计价 |
行权价格区间(欧元) | 而尚未行使的购股权数目 | 加权平均剩余合同期限(年) | 行使价格范围(美元) | 而尚未行使的购股权数目 | 加权平均剩余合同期限(年) |
| | | | | |
| | | | | |
| | | | | |
| | | | | |
| | | | | |
| | | | | |
50–60 | 5,268 | | 0.96 | 50–60 | — | | 0.00 |
60–70 | 10,773 | | 0.96 | 60–70 | 278 | | 0.06 |
70–80 | 10,109 | | 2.35 | 70–80 | 828 | | 0.30 |
80–90 | 10,791 | | 2.83 | 80–90 | 8,855 | | 1.90 |
90–100 | 10,666 | | 2.73 | 90–100 | 15,308 | | 2.05 |
100–110 | — | | 0.00 | 100–110 | 6,869 | | 2.74 |
| | | | | |
总计 | 47,607 | | 2.08 | 总计 | 32,138 | | 2.09 |
员工采购计划
此外,我们还为我们的工资员工提供员工购买计划,但管理委员会除外,他们被排除在此计划的参与之外。通过这个计划,工资员工有机会通过每月的工资购买我们的股票。雇员可参与该计划的最高金额为 10.0年基本工资毛额的%。当雇员保留股份的最低限度, 12几个月后,我们将支付一个 20.0按初始参与金额计算的%现金奖金总额。
会计政策
雇员采购计划按权责发生制入账。雇员购买计划之股份按季度发行,股份购买价乃根据本公司上市股份于授出日期(即本公司季度申报后之日期)之收市价计算。雇员购买的股份乃从我们的库存股中发行。
2022年,ASML获得欧元81.8百万欧元(2021年:欧元49.0百万和2020年:欧元37.91000万元),为本计划发行股份。
21. 所得税
会计政策
资产及负债法用于所得税会计处理。根据此方法,递延税项资产及负债乃就经营亏损及税项抵免结转之税务影响,以及现有资产及负债之资产负债表账面值与其各自税基之差额所产生之税务后果确认。倘递延税项资产之账面值极有可能无法变现,则会就差额入账估值拨备。所得税开支包括溢利之即期及递延税项、相关利息及罚款、合资格为所得税之不可收回预扣税,以及来自集团公司之即期及预期股息收入之实际或潜在预扣税。
递延税项资产及负债乃按预期可收回或清偿暂时差额、经营亏损结转及税项抵免结转之年度之应课税收入预期适用之已颁布税率计量。税率变动对递延税项资产及负债的影响于包括颁布日期的期间内于综合经营报表确认。原透过其他全面收益确认之递延所得税于关连项目自其他全面收益拨回收益表后透过未来期间之盈利循环。
我们根据两个步骤评估未确认的税务优惠。第一步是评估税务状况以供确认,方法是确定现有证据的权重是否显示该状况在审计中较有可能维持,包括解决相关上诉或诉讼程序(如有)。第二步是将税收优惠作为结算时可能实现的50%以上的最大金额来衡量。虽然我们相信我们对纳税申报表所采取的立场有适当的支持,但我们会定期评估税务机关在确定所得税开支是否充足时的潜在审查结果,并在导致修订的事实被知晓的期间内调整所得税开支、应付所得税及递延税项。
所得税正在影响我们的综合经营报表、综合全面收益表和综合资产负债表。因此,所得税的披露分为:
–所得税开支
–未确认的税收优惠的责任
–递延税金
所得税费用
所得税开支的组成部分如下,其中“荷兰所得税开支”指我们在荷兰的实体产生的应课税收入的总税项开支,而“外国所得税开支”指我们的非荷兰集团实体产生的应课税收入的总税项开支。因此,“荷兰所得税支出总额”包括非荷兰实体向荷兰支付的收入在来源处预扣的预扣税支出。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 246 |
| 合并财务报表附注(续) | | | | |
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
荷兰 | 3,574.6 | | 5,982.8 | | | 5,881.0 | |
外国 | 442.0 | | 722.7 | | | 575.1 | |
所得税前收入 | 4,016.6 | | 6,705.5 | | | 6,456.1 | |
| | | | |
所得税费用流动 | (407.7) | | (865.0) | | | (818.4) | |
递延所得税费用 | 1.4 | | (28.6) | | | (44.4) | |
所得税支出荷兰 | (406.3) | | (893.6) | | | (862.8) | |
| | | | |
所得税费用流动 | (375.3) | | (523.5) | | | (678.3) | |
递延所得税费用 | 230.1 | | 395.7 | | | 571.2 | |
国外所得税费用 | (145.2) | | (127.8) | | | (107.1) | |
| | | | |
所得税总支出当期 | (783.0) | | (1,388.5) | | | (1,496.7) | |
递延所得税费用总额 | 231.5 | | 367.1 | | | 526.8 | |
所得税总支出 | (551.5) | | (1,021.4) | | | (969.9) | |
本期和递延税项支出可以进一步细分为:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
本年度税费支出 | (743.7) | | (1,367.2) | | | (1,440.9) | |
上年税费支出 | (39.3) | | (21.3) | | | (55.8) | |
当期税费总额 | (783.0) | | (1,388.5) | | | (1,496.7) | |
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
营业损失和税收抵免确认的变化 | (56.9) | | (37.2) | | | (41.2) | |
| | | | |
上年税费支出 | 27.0 | | (2.4) | | | 79.2 | |
税率变动 | 15.0 | | 1.5 | | | (1.1) | |
临时差异、营业亏损和税款抵免的产生和转回 | 246.4 | | 405.2 | | | 489.9 | |
递延税项支出共计 | 231.5 | | 367.1 | | | 526.8 | |
荷兰法定税率为 25.82022年的百分比(25.02021年和2020年的%)。其他司法权区之税项乃按相关司法权区之现行税率计算。
实际税率下降, 15.0%2022年, 15.22021年%。较低税率主要是由于在提交最终纳税申报表后调整过往年度的估计税务状况所致。
所得税开支与荷兰法定税率与实际所得税税率的对账如下:
| | | | | | | | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | %1 | 2021 | %1 | | 2022 | %1 |
所得税前收入 | 4,016.6 | | 100.0 | % | 6,705.5 | | 100.0 | % | | 6,456.1 | | 100.0 | % |
基于ASML国内税率的所得税费用 | (1,004.1) | | 25.0 | % | (1,676.4) | | 25.0 | % | | (1,665.7) | | 25.8 | % |
外国司法管辖区税率的影响 | 0.9 | | — | % | (4.6) | | 0.1 | % | | 13.0 | | (0.2) | % |
对免税所得的调整 | 0.2 | | — | % | — | | — | % | | — | | — | % |
有关税务优惠的调整 | 510.4 | | (12.7) | % | 727.3 | | (10.8) | % | | 741.2 | | (11.5) | % |
对前几年当期税额的调整 | (39.3) | | 1.0 | % | (21.3) | | 0.3 | % | | (55.8) | | 0.9 | % |
对前几年递延税项的调整 | 27.0 | | (0.7) | % | (2.4) | | — | % | | 79.2 | | (1.2) | % |
未确认税收优惠负债的变动 | (41.0) | | 1.0 | % | (21.6) | | 0.3 | % | | (9.9) | | 0.2 | % |
与收购/重组有关的项目的税收影响 | — | | — | % | 35.9 | | (0.5) | % | | — | | — | % |
更改估值免税额 | (56.9) | | 1.4 | % | (37.2) | | 0.6 | % | | (41.2) | | 0.6 | % |
权益法投资 | (20.9) | | 0.5 | % | (46.7) | | 0.7 | % | | (38.3) | | 0.6 | % |
税率变动的影响 | 15.0 | | (0.4) | % | 1.5 | | — | % | | (1.1) | | — | % |
其他(抵免)和非税可抵扣项目 | 57.2 | | (1.4) | % | 24.1 | | (0.4) | % | | 8.7 | | (0.1) | % |
所得税费用 | (551.5) | | 13.7 | % | (1,021.4) | | 15.2 | % | | (969.9) | | 15.0 | % |
1.作为所得税前收入的百分比。
下表中的各个行项目将在下面进行更详细的说明。
基于ASML国内税率的所得税费用
基于ASML国内税率的所得税支出基于荷兰法定所得税税率。它反映了本应适用的所得税支出,假设我们的所有收入都应按荷兰法定税率纳税,应纳税基数和财务结果之间没有差异,也没有适用税收优惠。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 247 |
| 合并财务报表附注(续) | | | | |
外国法域税率的影响
我们的部分成果是在荷兰以外适用不同税率的国家实现的。有关影响每年可能不同,视乎有关海外司法权区之除税前溢利而定。
对免税所得的调整
过去数年,在某些司法管辖区,部分所得收入获豁免。随着事实和情况的变化,这种影响从2020年开始显著降低。
有关税务优惠的调整
税务优惠的调整主要与应用荷兰创新箱(Dutch Innovation Box)导致税率降低有关,该工具为荷兰企业税法项下的一项融资,据此,与研发相关的合资格收入于二零二一年须按9. 0%的实际税率缴税。二零二零年的有效创新箱税率为7. 0%。创新箱的利益根据荷兰法律和公布的税务政策确定,其中申请已在ASML与荷兰税务机关达成的协议中确认,该协议适用于截至2023年的年度,假设事实和情况不变。
此外,此类别包括适用于我们美国集团公司层面的外国衍生无形收入(FDII)扣除。FDII扣除额是美国企业税法下的一项安排,可降低海外市场有形及无形产品及服务所得收入的实际税率。
二零二一年及二零二二年的金额较二零二零年高,主要是由于荷兰集团公司的税前收入水平增加导致创新箱收益增加所致。
该项目于二零二二年的实际税率对账中的相对权重较二零二一年增加,主要是由于一般荷兰企业所得税税率于二零二二年上升至25. 8%(二零二一年:25%)所致。
对前几年当期税额的调整
有关过往年度即期税项之调整与初步估计所得税与已提交之最终企业所得税申报表或与税务机关协定之安排之间之差额有关。主要由合约负债之暂时性差异修订所致,并被过往年度递延税项结余之类似变动所抵销。
对前几年递延税项的调整
过往年度递延税项之调整变动主要与初步估计所得税与已呈报之最终企业所得税申报表之间之差额有关。此乃主要由于合约负债暂时性差异的修订所致。
未确认税务优惠负债的变动
于二零二二年,与过往年度相似,实际税率受未确认税务利益负债变动影响。二零二二年的变动主要是由于与荷兰及外国税务机关就转让定价领域的持续对话,以及我们美国集团公司层面的FDII扣除及研发信贷的不确定性所推动。此外,由于章程失效,一些前一年的职位已经解除。
与收购/重组有关的项目的税收影响
二零二一年影响涉及出售部分Berliner Glas(ASML Berlin GmbH)实体,据此商业交易结果在很大程度上可豁免所得税。于二零二零年或二零二二年概无发生该等交易。
更改估值免税额
估值拨备变动主要与荷兰及美国集团公司于各年度新确认的研发及预扣税抵免有关,而该等抵免被认为不大可能于未来年度实现。
权益法投资
该项目包括与我们在Carl Zeiss SMT Holding GmbH & Co. KG的投资有关的所得税费用。二零二一年及二零二二年之影响较二零二零年增加,主要由于权益法投资溢利增加,以及(二零二一年)调整权益投资之外部基准差异后之税务会计后果所致。
税率变动的影响
2022年税率变动影响与韩国企业所得税税率下调有关,轻微影响韩国集团实体层面递延税项状况的估值。二零二零年及二零二一年对实际税率的影响主要由一般荷兰企业所得税率及相关年度颁布的创新箱税率变动所致。
其他抵免和非税额可抵扣项目
其他抵免及非税项可扣税项目反映永久性非税项可扣税项目(如不可扣税预扣税、不可扣税以股份为基础的付款开支及不可扣税餐饮及娱乐开支)对我们法定税率的影响,以及各种税项抵免(如美国研发抵免)对我们所得税开支的影响。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 248 |
| 合并财务报表附注(续) | | | | |
美国税改
年终税务状况亦反映2017年美国税务改革的规定,因此考虑到美国政府发布的指引。因此,最终FDII法规的最新指引已自二零二一年起适用,上述法规允许不追溯。关于GILTI和BEAT,已决定将其作为一个长期项目处理。
2022年8月9日,美国颁布了《CHIPS and Science Act》,其中包括对半导体和半导体设备制造资产实施25%的投资税收抵免。在预期法规发布之前,目前尚不确定本公司是否会要求我们于二零二三年可能有权获得的投资税收抵免。
此外,2022年8月16日,美国颁布了《2022年通货膨胀削减法案》,其中包括对某些大公司的账面收入征收15%的最低税,对股票回购征收1%的消费税,多项清洁能源条款,以及为国税局提供额外资金。根据我们目前对法律的分析,我们认为IRA不会对我们二零二二年及以后的综合财务报表造成重大影响。
全球 最小值 税费
为了解决大型跨国公司利润分配不均和纳税不均的问题,在全球层面达成了各种协议,包括由超过135个司法管辖区达成的一项协议,将全球最低税率定为15%。我们持续关注有关全球最低税额的发展。截至2022年12月31日,我们运营的唯一一个已经做出了一些与充值税相关的立法修改的司法管辖区是韩国,生效日期为2024年1月1日。然而,预计我们开展业务的其他国家也会出现同样的情况,比如欧盟和英国。目前,我们还不能完全详细地评估这些(潜在的)新规则的量化影响,但总的来说,预计影响将是有限的。
未确认的税收优惠和递延税款的负债
综合资产负债表所记录的未确认税项利益及相关应计利息和罚款及递延税项总额负债如下:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
未确认税收优惠的负债 | (200.4) | | (205.9) | | | (215.5) | |
递延税项资产 | 671.5 | | 1,098.7 | | | 1,672.8 | |
递延税项负债 | (37.9) | | (34.7) | | | (51.5) | |
递延及其他税项资产(负债) | 433.2 | | 858.1 | | | 1,405.8 | |
未确认税收优惠的负债
我们在多个司法管辖区都有业务,在这些司法管辖区,我们受到复杂税法的适用。适用这些复杂的税法可能会导致税收状况的不确定性。我们的目标是在与税务当局的讨论中解决这些不确定因素。我们根据ASC 740的要求记录未确认的税收优惠,这要求我们估计任何税收状况的潜在结果。我们对任何不确定的税收状况的潜在结果的估计都具有高度的判断性。我们相信,我们已经为不确定的税收状况做了足够的准备。然而,以与我们的预期不符的方式结算这些不确定的税务状况可能会对我们的综合财务报表产生实质性影响。
根据ASC 740的要求,截至2022年12月31日,未确认的税收优惠(不包括利息和罚款)的负债为欧元160.0百万欧元(2021年:欧元144.3百万美元),归类为递延和其他所得税负债。如果确认,这些未确认的税收优惠将影响我们的有效税率约为欧元139.2百万福利(2021年:欧元190.9百万福利)。
与未确认的税收优惠责任相关的利息和罚款金额为欧元55.5百万(2021: €61.6百万美元),并计入下文规定的总负债头寸。P2022年应计利息和罚金对L的影响NT的好处,5.0百万 (2021: €9.7百万福利;2020年:欧元14.2百万元利益)。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 249 |
| 合并财务报表附注(续) | | | | |
未确认税务利益负债(不包括利息及罚款)的期初及期末结余对账如下:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
截至1月1日的结余 | (150.7) | | (138.0) | | | (144.3) | |
不同税务管辖区的总列报 | (27.3) | | — | | | — | |
毛收入增长--上期税收状况 | (66.6) | | (21.6) | | | (11.7) | |
毛减--上期税务头寸 | 0.5 | | 8.9 | | | 2.0 | |
增加总额--本期税收状况 | (21.6) | | (18.8) | | | (23.1) | |
| | | | |
聚落 | 106.6 | | 2.5 | | | 6.8 | |
诉讼时效失效 | 14.5 | | 32.0 | | | 13.2 | |
汇率变动的影响 | 6.6 | | (9.3) | | | (2.9) | |
未确认的税收优惠负债共计 | (138.0) | | (144.3) | | | (160.0) | |
应计利息和罚款余额 | (62.4) | | (61.6) | | | (55.5) | |
未确认税收优惠的总负债,包括利息和罚款 | (200.4) | | (205.9) | | | (215.5) | |
我们得出结论,我们对未确认的税收优惠的责任是适当的。根据现有信息,我们估计未确认税收优惠的负债为将减少 €11.9百万(不包括利息和罚款)在下一个12几个月,主要是由于诉讼时效到期。
就2020年而言,上期及结算期的税务增加总额主要是与完成我们韩国集团公司层面的税务审计有关。2022年的和解主要涉及我们荷兰财政统一的2018年和2019年企业所得税申报单的最终结算。
我们在所有开展业务的国家提交所得税申报单,荷兰、美国、台湾、韩国和中国是主要司法管辖区。各司法管辖区的报税表仍可供查阅的年份如下:
| | | | | |
国家 | 年份 |
荷兰 | 2019-2022 |
我们 | 2017-2022 |
台湾 | 2017-2022 |
韩国 | 2019-2022 |
中国 | 2012-2022 |
我们经常接受我们经营所在的各个司法管辖区的税务及其他机关的检查及审计。吾等相信,已就足够金额的税项及相关利息及罚款作出拨备,而因检查而作出的任何调整预期不会造成重大不利影响。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 250 |
| 合并财务报表附注(续) | | | | |
递延税项资产及负债总额与综合资产负债表分类对账如下:
| | | | | | | | | | | | | | | | | | | | | | | | |
递延税金(欧元,百万欧元) | 2022年1月1日 | | 学分和其他 | 已整合 陈述 的 运营 | 其他综合收益确认的所得税 | 影响范围: 变化 作为交换 费率 | | 2022年12月31日 |
递延税项资产: | | | | | | | | |
资本化R&D支出 | 420.4 | | | — | | 151.2 | | — | | 20.5 | | | 592.1 | |
研发和其他税收抵免结转 | 162.7 | | | 23.7 | | 20.6 | | — | | 6.4 | | | 213.4 | |
盘存 | 31.5 | | | — | | 12.5 | | — | | 1.2 | | | 45.2 | |
合同责任 | 423.2 | | | — | | 400.8 | | — | | (3.2) | | | 820.8 | |
应计负债和其他负债 | 98.1 | | | — | | 4.4 | | — | | 3.3 | | | 105.8 | |
标准保修准备金 | 11.3 | | | — | | (4.1) | | — | | 0.9 | | | 8.1 | |
营业亏损结转 | 7.4 | | | — | | (2.8) | | — | | (0.1) | | | 4.5 | |
财产、厂房和设备 | 18.6 | | | — | | 1.7 | | — | | (1.4) | | | 18.9 | |
租赁负债 | 23.2 | | | — | | 3.1 | | — | | 1.1 | | | 27.4 | |
其他无形资产 | 143.5 | | | — | | (18.7) | | — | | — | | | 124.8 | |
| | | | | | | | |
| | | | | | | | |
基于股份的支付 | 9.6 | | | — | | 1.2 | | — | | 0.6 | | | 11.4 | |
其他暂时性差异 | 27.5 | | | — | | 3.7 | | (6.5) | | (1.4) | | | 23.3 | |
递延税项资产总额(毛额) | 1,377.0 | | | 23.7 | | 573.6 | | (6.5) | | 27.9 | | | 1,995.7 | |
估值免税额1 | (167.6) | | | — | | (41.2) | | — | | (6.6) | | | (215.4) | |
递延税项总资产,净额 | 1,209.4 | | | 23.7 | | 532.4 | | (6.5) | | 21.3 | | | 1,780.3 | |
递延税项负债: | | | | | | | | |
其他无形资产 | (79.9) | | | — | | 19.8 | | — | | (5.3) | | | (65.4) | |
商誉 | (20.9) | | | — | | (7.9) | | — | | — | | | (28.8) | |
使用权资产 | (23.2) | | | — | | (3.1) | | — | | (1.1) | | | (27.4) | |
财产、厂房和设备 | (10.9) | | | — | | 1.5 | | — | | (0.4) | | | (9.8) | |
合同责任 | (7.9) | | | — | | (8.4) | | — | | — | | | (16.3) | |
长期债务 | (1.5) | | | — | | — | | — | | — | | | (1.5) | |
其他暂时性差异 | (1.1) | | | — | | (7.5) | | (2.1) | | 0.9 | | | (9.8) | |
递延税项负债总额 | (145.4) | | | — | | (5.6) | | (2.1) | | (5.9) | | | (159.0) | |
递延税项净资产(负债) | 1,064.0 | | | 23.7 | | 526.8 | | (8.6) | | 15.4 | | | 1,621.3 | |
分类为: | | | | | | | | |
| | | | | | | | |
递延税项资产--非流动 | 1,098.7 | | | | | | | | 1,672.8 | |
递延税项负债--非流动 | (34.7) | | | | | | | | (51.5) | |
递延税项净资产(负债) | 1,064.0 | | | | | | | | 1,621.3 | |
1.上文披露之估值拨备与研发及其他税项抵免结转及经营亏损结转有关,而该等结转可能无法变现。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 251 |
| 合并财务报表附注(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | |
递延税金(欧元,百万欧元) | 2021年1月1日 | | 学分和其他 | 已整合 陈述 的 运营 | 其他综合收益确认的所得税 | 影响范围: 变化 作为交换 费率 | | 2021年12月31日 |
递延税项资产: | | | | | | | | |
资本化R&D支出 | 287.1 | | | — | | 106.8 | | — | | 26.5 | | | 420.4 | |
研发和其他税收抵免结转 | 117.2 | | | 21.4 | | 16.4 | | — | | 7.7 | | | 162.7 | |
盘存 | 37.2 | | | — | | (7.2) | | — | | 1.5 | | | 31.5 | |
合同责任 | 125.2 | | | — | | 288.0 | | — | | 10.0 | | | 423.2 | |
应计负债和其他负债 | 87.8 | | | — | | 5.7 | | — | | 4.6 | | | 98.1 | |
标准保修准备金 | 16.4 | | | — | | (6.3) | | — | | 1.2 | | | 11.3 | |
营业亏损结转 | 27.1 | | | — | | (19.9) | | — | | 0.2 | | | 7.4 | |
财产、厂房和设备 | 26.9 | | | — | | (10.8) | | — | | 2.5 | | | 18.6 | |
租赁负债 | 6.5 | | | — | | 16.2 | | — | | 0.5 | | | 23.2 | |
其他无形资产 | 143.5 | | | — | | — | | — | | — | | | 143.5 | |
| | | | | | | | |
| | | | | | | | |
基于股份的支付 | 7.2 | | | — | | 1.8 | | — | | 0.6 | | | 9.6 | |
其他暂时性差异 | 23.9 | | | — | | 7.5 | | (1.0) | | (2.9) | | | 27.5 | |
递延税项资产总额(毛额) | 906.0 | | | 21.4 | | 398.2 | | (1.0) | | 52.4 | | | 1,377.0 | |
估值免税额1 | (122.5) | | | — | | (37.2) | | — | | (7.9) | | | (167.6) | |
递延税项总资产,净额 | 783.5 | | | 21.4 | | 361.0 | | (1.0) | | 44.5 | | | 1,209.4 | |
递延税项负债: | | | | | | | | |
其他无形资产 | (93.9) | | | 2.9 | | 17.1 | | — | | (6.0) | | | (79.9) | |
商誉 | (15.6) | | | — | | (5.3) | | — | | — | | | (20.9) | |
使用权资产 | (6.5) | | | — | | (16.2) | | — | | (0.5) | | | (23.2) | |
财产、厂房和设备 | (5.4) | | | — | | (4.3) | | — | | (1.2) | | | (10.9) | |
合同责任 | (18.2) | | | — | | 10.3 | | — | | — | | | (7.9) | |
长期债务 | (1.6) | | | — | | 0.1 | | — | | — | | | (1.5) | |
其他暂时性差异 | (8.7) | | | 2.5 | | 4.4 | | — | | 0.7 | | | (1.1) | |
递延税项负债总额 | (149.9) | | | 5.4 | | 6.1 | | — | | (7.0) | | | (145.4) | |
递延税项净资产(负债) | 633.6 | | | 26.8 | | 367.1 | | (1.0) | | 37.5 | | | 1,064.0 | |
分类为: | | | | | | | | |
| | | | | | | | |
递延税项资产--非流动 | 671.5 | | | | | | | | 1,098.7 | |
递延税项负债--非流动 | (37.9) | | | | | | | | (34.7) | |
递延税项净资产(负债) | 633.6 | | | | | | | | 1,064.0 | |
1.上文披露之估值拨备与研发及其他税项抵免结转及经营亏损结转有关,而该等结转可能无法变现。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 252 |
| 合并财务报表附注(续) | | | | |
营业亏损结转和税收抵免结转
截至2022年12月31日确认的营业亏损递延税项资产结转和研发及其他税收抵免结转几乎全部保留。研发和其他税收抵免结转金额为欧元178.9100万没有到期日。剩余的研发和其他税收抵免结转到欧元34.4100万辆的到期日在2023年至2036年之间。营业亏损结转欧元12.21000万的有效期为2023年至2029年。
与海外子公司投资有关的未确认递延税项负债
ASML定期检讨各集团实体之资本架构,并可分派保留盈利、偿还资本或注入新资本(倘有关实体之预计现金流量、可自由动用资金及有关国家之资本充足要求允许╱要求)。于2022年12月31日,并无计划分派非荷兰附属公司的应课税未分派保留盈利。因此,并无就非荷兰附属公司之未分派保留盈利确认递延税项负债。由于该等分派的税务影响取决于分派时适用的当地税务及会计法规,因此实际上也无法确定。截至2022年12月31日,未确认暂时性差异总额约为欧元451.33.8亿(2021: €283.4(亿美元)。
22. 股东权益
股本
ASML的法定股本为欧元126.0 100万,分为:
| | | | | | | | | | | |
股份类型 | 股份数量 | 名义价值 | 每股投票权 |
累计优先股 | 700,000,000 | €0.09每股 | 1 |
普通股 | 700,000,000 | €0.09每股 | 1 |
面值为欧元的已发行及缴足普通股0.09每一项都如下:
| | | | | | | | | | | | | | |
截至十二月三十一日止的年度 | 2020 | 2021 | | 2022 |
面值为欧元的已发行普通股0.09 | 416,514,034 | | 402,601,613 | | | 394,589,411 | |
已发行普通库存股,面值为欧元0.09 | 2,983,454 | | 3,873,663 | | | 8,548,631 | |
面值为欧元的已发行普通股总数0.09 | 419,497,488 | | 406,475,276 | | | 403,138,042 | |
87,875,651普通股由280在美国注册地址的注册持有人。由于我们的若干普通股由经纪及代名人持有,美国纪录持有人的数目未必代表实益持有人的数目或实益持有人的居住地。
每股普通股由以下部分组成900零碎股份。零碎股份赋予其持有人享有零碎股息的权利,但并不赋予其投票权。只有直接在荷兰股份登记册持有股份的人士,由我们在我们的地址为5504 DR Veldhoven,de Run 6501,the Netherlands持有,或在纽约股份登记册中由JP Morgan Chase Bank,N.A.持有,P.O. Box 64506,St. Paul,MN 55164—0506,美国,可以持有零碎股份。股东通过荷兰中央证券存管机构Euroclear Nederland维持的荷兰证券银行转罗交易法下的存款系统或通过存管信托公司持有普通股,不能持有零碎股份。
不是已发行累计优先股。于二零二二年股东周年大会上获股东大会采纳之经修订组织章程细则后,资本架构有所变动。由于该等变动,我们不再拥有B类普通股。在删除B类普通股后,每股有一票表决权。
本公司股本中已发行股份并无特别投票权。
2012年,我们向三个主要客户—英特尔、台积电和三星发行股票,作为客户共同投资计划(CCIP)的一部分,以加速ASML在EUV的开发。在此计划下,参与客户为某些开发计划提供资金,并投资于ASML的普通股。目前,只有一名参与客户仍(直接或间接)持有于CCIP已发行的普通股。若干投票限制适用于就CCIP发行的普通股。有关该等普通股的投票限制载于ASML与相关客户订立的相关协议。不在CCIP中发行的股份由向CCIP参与者发行存托凭证的基金持有。共 96,566,077普通股存托凭证于CCIP推出时发行。该数字自禁售期届满后随相关客户抛售而减少。
目前,根据荷兰法律或ASML的公司章程,ASML股本中的普通股转让没有限制。根据ASML的公司章程,每次转让累积优先股都需要监事会的批准。
发行和回购股份(权利)
我们的管理委员会有权在股东大会授权的范围内发行普通股和累积优先股。管理委员会要求监事会批准此类问题。大会的授权只能在不超过五年的一定期限内给予,每次延长不得超过五年。如果股东大会没有授权管理委员会发行股票,股东大会将被授权根据管理委员会的提议发行股票,前提是监事会已经批准了这样的提议。
ASML普通股的持有人拥有优先购买权,按其持有的普通股总面值的比例。这一优先购买权可能受到限制或排除。普通股持有人对于以现金或普通股以外的代价发行的任何普通股,并无优先购买权,
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 253 |
| 合并财务报表附注(续) | | | | |
员工股东大会授权的,管理委员会有权在监事会批准的情况下限制或排除普通股持有人的优先购买权。
在我们的2022年股东周年大会上,管理委员会获授权,自2022年4月29日至2023年10月29日,经监事会批准,发行股份和/或其权利,最多相当于 5占我们于2022年4月29日已发行股本的%,加上额外的 5占我们于2022年4月29日已发行股本的%,该等股份可能与合并、收购及╱或(战略)联盟有关。我们的股东还授权管理委员会在2023年10月29日之前,经监事会批准,限制或排除普通股持有人的优先购买权,最多不超过 5与一般授权发行股份及╱或股份权利有关的已发行股本的%,加上额外的 5%与授权发行与合并、收购和/或(战略)联盟有关的股份和/或股权有关。
本公司可随时购回已发行普通股,惟须遵守荷兰法律及本公司章程的规定。任何此类回购须经监事会批准和股东大会授权,授权期限不得超过18个月。
在2022年股东周年大会上,管理委员会获授权,经监事会批准,于2023年10月29日之前回购最多为我们于2022年4月29日已发行股本的10%,价格介于所购买普通股的面值和 110该等证券在阿姆斯特丹泛欧交易所或纳斯达克市场价格的%。
ASML优先股基金会
根据荷兰法律成立的ASML优先股基金会(Stichting Pferente Aandelen ASML)已被授予获得ASML股本中优先股的选择权。基金会可在基金会董事会认为涉及ASML的利益、ASML的业务或ASML的利益相关者的利益的情况下行使优先股选择权。在以下情况下可能会出现这种情况:
–对ASML股票的公开竞购被宣布或提出,或者有理由预期将在没有与ASML就此类竞购达成任何协议的情况下进行此类竞购;或
–基金会董事会认为,一个或多个股东联合行动(企图)行使投票权,与ASML的利益、ASML的业务或ASML的利益相冲突。
本基金会的目标是照顾ASML和由ASML集团维持和/或附属于ASML集团的企业的利益,以最佳方式保障ASML、这些企业和所有有关各方的利益,并确保与这些利益相冲突的影响,这些影响可能影响ASML和这些公司的独立性或特性,在基金会的最大能力,以及与上述有关或可能有助于其的一切。本基金会旨在实现其目标,
及持有ASML股本中的累积优先股,并行使该等股份所附带的权利,特别是投票权。
优先股购股权赋予基金会获得基金会所需数目的累积优先股的权利,但该数目的累积优先股的总面值不得超过行使优先股选择权时发行的普通股的总面值。认购价将等于它们的名义价值。在最初发行累积优先股时,只需支付认购价的四分之一,其余四分之三的面值仅在ASML召回这一金额时支付。行使优先股选择权可以有效稀释已发行普通股的投票权一半。
ASML注销和偿还已发行的累积优先股需要得到股东大会的授权,由管理委员会提出并经监事会批准的相关提议。如果优先股选择权被行使,并因此发行累积优先股,ASML将应基金会的要求,启动回购或取消基金会持有的所有累积优先股。在这种情况下,ASML有义务尽快实施回购和相应的取消。注销将导致偿还已支付的金额,并免除支付累积优先股的义务。只有在累计优先股全部缴足股款后,才能进行回购。
如果基金会没有要求ASML回购或注销基金会持有的所有累积优先股, 20月份于发行该等股份时,吾等将须召开股东大会,以决定购回或注销该等股份。
该基金会独立于ASML。基金会的董事会由来自荷兰工商界和学术界的四名独立成员组成。基金会董事会于2022年12月31日由以下成员组成:A.P.M. van der Poel先生、S.佩里克,S.S.沃勒布雷特和J. Streppel先生。
除上述与基金会作出的安排外,ASML尚未建立任何其他反收购装置。
股利政策
ASML的目标是分配股息,将随着时间的推移而增长,每季度支付。每年,管理委员会经监事会事先批准后,向股东周年大会提交有关上一年度拟宣派股息金额的建议,并计及任何中期股息分派。任何指定年度的股息建议将视乎可供分派溢利、保留盈利及现金而定,并可能受(其中包括)我们对未来潜在流动资金需求(包括产能投资、营运资金需求、研发计划资金及不时产生的收购机会)的看法所影响。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 254 |
| 合并财务报表附注(续) | | | | |
ASML拟宣派2022年股息总额为欧元5.80每股普通股。确认中期股息欧元1.372022年8月、2022年11月及2023年2月支付的每股普通股,这导致向股东大会提出的末期股息建议为欧元1.69每股普通股。2022年股息总额为 5.5较二零二一年股息总额欧元增长%5.50每股普通股。
普通股股息须先从任何已发行累计优先股的净收入中支付(累计)股息后,自股东周年大会采纳的财务报表所示净收入或保留盈利中支付。
购买股本证券
除派付股息外,我们拟透过股份回购或资本偿还定期向股东返还现金,惟须视乎我们实际及预期的流动资金需求水平及其他相关因素而定。
于2022年11月10日,我们宣布将于2025年12月31日前执行的新股份回购计划。作为该计划的一部分,ASML打算回购最多为欧元的股份,12 10亿美元,我们预计总数高达, 2 百万股将用于支付雇员股份计划。ASML拟注销其余购回股份。新计划取代了以前的欧元9 2021—2023年10月18日完成的股票回购计划2021—2023。
2022年,我们回购了 8,538,787股票(2021年:14,358,838股份),总代价为欧元4,639.7百万欧元(2021年:欧元8,560.3 其中, 355,324股份,代价为欧元200.0在新计划下购买了100万美元。2022年,我们取消了 3,337,825股票(2021年:13,023,016股票被取消),其中 3,337,825根据2021—2023年计划回购的股票。
股票回购计划可随时暂停、修改或终止。
下表提供了ASML在2022年回购的股票摘要:
| | | | | | | | | | | | | | |
期间 | 购买的股份总数 | 每股平均支付价格(欧元) | 根据计划购买的股份总数 | 可能尚未购买的股票的最高价值 (百万欧元) |
2022年1月3日-31日 | 1,107,187 | | 630.21 | | 1,107,187 | | 3,741.9 | |
2022年2月1日至28日 | 1,150,011 | | 572.80 | | 2,257,198 | | 3,083.2 | |
2022年3月1日至31日 | 1,241,647 | | 575.99 | | 3,498,845 | | 2,368.0 | |
2022年4月1日-30日 | 808,095 | | 573.12 | | 4,306,940 | | 1,904.9 | |
2022年5月1日至31日 | 675,117 | | 522.70 | | 4,982,057 | | 1,552.0 | |
2022年6月1日-30日 | 717,092 | | 488.27 | | 5,699,149 | | 1,201.9 | |
2022年7月1日至31日 | 666,112 | | 467.26 | | 6,365,261 | | 890.6 | |
2022年8月1日至31日 | 673,412 | | 541.36 | | 7,038,673 | | 526.1 | |
2022年9月1日至30日 | 907,391 | | 466.94 | | 7,946,064 | | 102.4 | |
2022年10月1日至31日 | 237,399 | | 431.23 | | 8,183,463 | | — | |
2022年11月1日至30日 | 152,323 | | 568.91 | | 8,335,786 | | 11,913.3 | |
2022年12月1日至23日 | 203,001 | | 558.33 | | 8,538,787 | | 11,800.0 | |
| | | | |
总计 | 8,538,787 | | 543.37 | | | |
23. 每股普通股净收益
每股普通股基本净收入乃按净收入除以该期间已发行普通股加权平均数计算。
摊薄影响乃采用库存股法计算,方法为净收入除以该期间已发行普通股加权平均数,加上适用于购股权及有条件股份的股份(具摊薄作用的潜在普通股)。计算每股普通股摊薄净收益时并无假设行使购股权,而行使购股权会产生反摊薄影响。已发行股份之摊薄加权平均数计算不包括向优先股基金会或有发行之累计优先股,原因为该等累计优先股代表与普通股不同类别之股份。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 255 |
| 合并财务报表附注(续) | | | | |
每股普通股基本及摊薄净收益计算如下:
| | | | | | | | | | | | | | |
截至12月31日的年度(欧元,单位为百万,不包括每股数据) | 2020 | 2021 | | 2022 |
净收入 | 3,553.7 | | 5,883.2 | | | 5,624.2 | |
| | | | |
加权平均流通股数 | 418.3 | | 409.8 | | | 397.7 | |
每股普通股基本净收益 | 8.49 | | 14.36 | | | 14.14 | |
| | | | |
加权平均流通股数 | 418.3 | | 409.8 | | | 397.7 | |
适用于期权及有条件股份的加价股份 | 0.8 | | 0.6 | | | 0.3 | |
稀释加权平均股数 | 419.1 | | 410.4 | | | 398.0 | |
每股普通股摊薄净收益 | 8.48 | | 14.34 | | | 14.13 | |
24. 由于某些浓度而导致的脆弱性
我们依赖外部供应商提供我们系统中使用的组件和配件,包括其设计,每个组件和配件均从单一供应商或有限数量的供应商处获得。我们依赖有限的供应商群体涉及多项风险,包括可能无法获得所需组件的充足供应、对定价的控制减少以及该等组件和配件不能及时交付的风险。
25. 金融风险管理
我们面临若干财务风险,例如外汇风险、利率风险、信贷风险、流动资金风险及资本风险。我们的整体风险管理计划专注于金融市场的不可预测性,并力求尽量减少对我们财务表现的潜在不利影响。我们的风险管理计划适当关注当前金融市场不确定的环境。
我们风险管理计划的一个关键要素是我们长期坚持的审慎融资政策,该政策基于三个基本要素:
–流动性:保持充足的流动性,确保业务持续增长,并为现金流波动提供缓冲
–资本结构:维持一个目标是稳定的投资级信用评级的资本结构
–现金返还:提供可持续的每股股息,该股息将随着时间的推移而增长,每季度支付,同时通过股票回购或资本偿还向股东返还超额现金
我们使用衍生金融工具对冲若干风险。该等交易概无为交易或投机目的而订立。我们使用市场资料厘定衍生金融工具的公平值。
外币风险管理
我们的合并财务报表以欧元列报。因此,我们的经营业绩受到欧元与其他货币之间汇率波动的影响。货币汇率变动可能导致我们的综合财务报表亏损。我们特别容易受到美元与欧元之间汇率波动的影响,而日元、韩元、台币和人民币相对于欧元的汇率波动的影响较小。我们产生的销售成本主要以欧元计值,部分亦以美元及台湾元计值。我们的经营业绩有一小部分是由欧元、美元、日元、韩元、台币或人民币以外的货币变动所带动。
外汇敏感度
下表详述我们对外币兑欧元升值10. 0%的敏感度。敏感度分析包括以外币计值的未偿还货币项目,并就外币汇率升值10. 0%调整其于期末的换算。正数表示净收入或权益增加。
| | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
| 对净收入的影响 | 对以下方面的影响 股权 | | 对净收入的影响 | 对中国的影响 股权 |
美元 | (6.9) | | 51.5 | | | (7.2) | | 65.3 | |
日元 | (2.2) | | (32.9) | | | (0.1) | | (16.6) | |
台币 | (3.7) | | — | | | (12.8) | | — | |
其他货币 | 6.2 | | — | | | (1.3) | | — | |
总计 | (6.6) | | 18.6 | | | (21.4) | | 48.7 | |
我们的政策是限制货币汇率波动对我们的综合经营报表的影响。对净收入的影响反映我们于二零二二年年底对欧元以外货币的净风险。上表所列对二零二二年净收入的负面影响主要由于风险产生与对冲之间的时间差异所致。
就美元及日圆交易订立之现金流量对冲之公平值变动影响于权益确认。美元及日圆对二零二二年之影响较二零二一年主要为未偿还现金流量对冲变动所致。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 256 |
| 合并财务报表附注(续) | | | | |
倘外币兑欧元贬值10. 0%,则对净收入及权益产生大致相等但相反的影响。
外汇风险政策
我们的政策是对冲重大交易风险,例如预测销售及采购交易。我们透过使用远期外汇合约对冲该等风险。
外汇合约
未平仓远期外汇合约于2022年12月31日的名义本金金额分别以美元、日元、台币、韩元及人民币计价。美元1.0十亿, 日元43.9十亿,台币18.5十亿美元,KRW99.0十亿元和人民币1.010亿(2021年:美元0.6十亿,日元44.5十亿,台币2.510亿,KRW11.9十亿元和人民币0.6十亿美元)。
以外币计价的对冲高可能性预测交易预计将在未来12个月内的不同日期发生。在保监处就包括在套期保值关系中的远期外汇合同确认的损益,将在受套期保值预测交易影响综合经营报表的期间在综合经营报表中确认。
2022年,我们确认了向净收入的转移 €66.5百万美元收益(2021: €22.2百万损失;2020年:欧元2.3于综合经营报表中,本年度发生之预测销售及采购交易之有效现金流量对冲所产生之亏损(百万元收益)。此外,我们确认净额为 €3.6百万增益i按公平值计入损益的衍生金融工具产生的综合经营报表(二零二一年:欧元)7.9百万损失;2020年:欧元28.2本集团于二零一零年十二月三十一日止年度内,主要由对冲货币项目的重估所抵销。
保监处平衡外汇合约中金融工具的未实现损益
未清偿累计保监处结余金融工具的未实现损益包括:
–以外币计值的预测采购交易的未偿预期损益。截至2022年12月31日,未偿还累计其他全面收益包括欧元5.5百万元代表将释放至销售成本的预期收益总额(二零二一年:收益欧元20.82020年:损失欧元26.1百万),(扣除税项:2022年:收益欧元4.7百万2021年:收益欧元17.7百万;2020年:损失欧元22.7百万美元),这将抵消以外币计价的预计购买交易的欧元等值。预计所有金额将在明年发放, 12月份。
–未清偿的预期损失将实现为销售额。截至2022年12月31日,未偿还累计其他全面收益包括收益欧元3.4百万(二零二一年:亏损欧元1.2百万;2020年:收益欧元0.4百万),(扣除税项:2022年:收益欧元2.92021年:亏损欧元1.0百万;2020年:收益欧元0.4百万美元),表示将发布给销售人员的预期收益总额。
我们应用套期保值会计的所有合同的有效性在整个套期保值的整个生命周期内都受到季度监测。在2022年、2021年和2020年期间, 不是人们认识到,对冲关系是无效的。
利率风险管理
我们有计息资产和负债,使我们通过利率掉期管理,使我们受到市场利率波动的影响。
利率敏感度
以下敏感度分析乃根据衍生金融工具及非衍生金融工具于结算日的利率风险而厘定,规定的变动于财政年度开始时发生,并于整个报告期内保持不变。下表显示了1.0我们的净收入和权益的利率增加了%。正数表示净收入和股本增加。
| | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
| 对净收入的影响 | 对以下方面的影响 股权 | | 对净收入的影响 | 对以下方面的影响 股权 |
效果 1.0利率上浮% | 45.9 | | — | | | 43.8 | | — | |
对净收入的正面影响主要涉及我们的现金和现金等价物以及短期投资总额高于我们的浮动债务头寸总额,其中不包括2020年发行的欧洲债券。
为.1.0如果利率下降%,对净收入和股本的影响大致相同,但相反。
对冲政策利率
我们使用利率互换,通过调整可用现金和计息债务的利息条款,将集团的净利息敞口降至最低。如果资产和负债头寸不能完全抵消,则可能存在剩余的利率风险。
利率互换
截至2022年12月31日,未偿还利率掉期合约的名义本金金额为 €3.0十亿 (2021: €3.0十亿美元)。于2022年期间,这些未平仓对冲在对冲利率变动带来的公允价值风险方面非常有效。欧元债券的公允价值变动与利率互换的公允价值变动在同一时期计入综合经营报表。我们并没有就2020年发行的欧洲债券订立利率互换协议。.
信用风险管理
可能导致本公司信用风险高度集中的金融工具主要包括现金及现金等价物、短期投资、用于对冲活动的衍生金融工具、应收账款和财务应收账款以及对供应商的预付款。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 257 |
| 合并财务报表附注(续) | | | | |
现金和现金等价物、短期投资和衍生金融工具包含交易对手无法履行其义务的风险因素。我们的风险管理计划适当地关注当前金融市场的不确定环境。我们将我们的现金和现金等价物以及短期投资投资于拥有投资级信用评级的金融机构的短期存款、拥有投资级信用评级的政府和/或政府相关机构,以及投资于高评级债务证券的货币市场和其他投资基金。为了减轻对冲交易中的交易对手无法履行其义务的风险,我们与少数拥有投资级信用评级的主要金融机构进行交易,并密切监测它们的信誉。所有信用评级都由S、穆迪或惠誉等信用评级机构进行评级。通过限制对每个单独交易对手的风险敞口,降低了集中风险。
我们的客户包括分布在世界各地的集成电路制造商。我们对客户的财务状况进行持续信用评估。我们透过额外措施(包括使用首期付款、信用证及合约所有权保留条款)降低信贷风险。保留所有权使我们能够在客户拖欠付款的情况下恢复系统。
流动性风险管理
我们的主要流动资金来源包括现金及现金等价物、短期投资及可用信贷融资,旨在维持充足流动资金以确保业务持续增长,并为现金流量波动提供缓冲。此外,我们可能不时在债券和股票市场筹集额外资金。我们致力确保我们的主要流动资金来源将足以满足我们在任何时候的流动资金需求。
我们的流动资金需求受多项因素影响,其中部分因素基于业务的正常持续营运,其他因素则与全球经济及半导体行业的不确定性有关。尽管我们的现金需求会根据这些因素的时间和程度而波动,但我们相信,经营产生的现金以及我们的其他流动资金来源足以满足我们目前的需求,包括我们的预期资本开支和偿债。
我们拟定期以股息支付的形式向股东返还现金,并视乎我们的实际及预期流动资金需求及其他相关因素,以股份回购或资本偿还的形式返还现金。
资本风险管理
我们管理资本结构的目标是通过维持确保流动性和支持稳健投资级信用评级的资本结构,保障我们满足资本提供者的能力。根据美国公认会计原则和欧盟国际财务报告准则,资本结构包括债务和股权组成部分。资本结构主要透过(其中包括)调整派付予股东的股息金额、股份回购或资本偿还金额以及债务水平的任何变动而改变。我们的资本结构每年都会与监事会就最新的长期财务计划和相关方案进行正式审查。本年度的检讨结果证实,我们维持现有的资本架构融资政策。
穆迪目前的信用评级为A2(稳定),与2021年12月31日的评级一致。我们目前的惠誉信用评级为A(稳定),该评级于2022年4月由A—上调。
金融工具
会计政策-衍生金融工具和套期保值活动
我们根据第二级输入标准得出的公平值计量所有衍生金融工具。我们会就可高度有效抵销已识别对冲风险的对冲采用对冲会计处理,并考虑到所需的有效性标准。
衍生工具初步按订立衍生工具合约当日之公平值确认,其后重新计量。确认所产生收益或亏损的方法取决于衍生工具是否指定为对冲工具,以及如被对冲项目的性质。我们将衍生物指定为以下之一:
–与已确认资产或负债公允价值变动有关的风险对冲,该风险归因于特定风险(公允价值对冲)。
–对与已确认资产或负债的现金流量变动有关的风险进行套期,或对可归因于特定风险的预测交易(现金流量套期)。
–与海外业务净投资有关的外汇风险对冲(净投资对冲)。
我们在交易开始时评估对冲工具与对冲项目之间的关系,以及我们进行各种对冲交易的风险管理目标和策略。我们亦于对冲开始时及持续评估对冲交易中使用的衍生工具是否高度有效地抵销被对冲项目的公平值或现金流量变动。衍生金融工具产生之现金流量乃根据对冲项目之性质于综合现金流量表分类。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 258 |
| 合并财务报表附注(续) | | | | |
公允价值对冲
被指定及合资格为公平值对冲的衍生金融工具的公平值变动,连同对冲资产或负债因对冲风险而产生的收益或亏损,均于综合经营报表内入账。
当我们撤销对冲关系、对冲工具到期或被出售、终止或行使,或不再符合对冲会计法的资格时,对冲会计法将终止。因对冲风险而产生的对冲项目账面值调整自该日起摊销至综合经营报表。
用作对冲应付固定贷款票公平值之利率掉期指定为公平值对冲。公平值变动旨在抵销相关固定贷款票的公平值变动,并相应记录。与对冲应付固定贷款票利率掉期无效部分有关的收益或亏损于综合经营报表确认为利息及其他净额。
现金流对冲
被指定及符合资格作为现金流量对冲的衍生工具的公平值变动于其他全面收益中入账(扣除税项),直至相关对冲交易于综合经营报表确认为止。倘相关对冲交易不会于指定期间内发生,相关现金流量对冲的收益或亏损自其他全面收益中解除,并计入综合经营报表,除非存在与预测交易的性质有关且超出我们的控制或影响力且导致预测交易很可能在某个日期发生的减刑情况,超出了规定的期限。
用于对冲与非功能货币的预测销售或采购交易有关的现金流量的外币对冲工具被指定为现金流量对冲。与外币对冲工具无效部分有关的收益或亏损于综合经营报表中确认为销售净额或销售成本。
衍生工具的公允价值
下表概述我们衍生金融工具的名义金额及估计公平值:
| | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
| 概念上的 金额 | 公允价值 | | 概念上的 金额 | 公允价值 |
远期外汇合约 | 27.5 | | 12.8 | | | 158.5 | | (18.8) | |
利率互换 | 3,000.0 | | 83.9 | | | 3,000.0 | | (225.1) | |
下表按类别汇总了我们的衍生金融工具:
| | | | | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 |
| 资产 | 负债 | | 资产 | 负债 |
| | | | | |
利率互换--公允价值对冲 | 83.9 | | — | | | 1.7 | | 226.8 | |
远期外汇合约现金流套期保值 | 15.0 | | 2.2 | | | 3.0 | | 18.1 | |
| | | | | |
远期外汇合约--无对冲会计 | 0.6 | | 0.6 | | | 12.6 | | 16.3 | |
总计 | 99.5 | | 2.8 | | | 17.3 | | 261.2 | |
较少的非流动部分: | | | | | |
| | | | | |
利率互换--公允价值对冲 | 47.3 | | — | | | — | | 179.0 | |
非流动部分合计 | 47.3 | | — | | | — | | 179.0 | |
总当期部分 | 52.2 | | 2.8 | | | 17.3 | | 82.2 | |
在资产负债表日后剩余期限不超过12个月的套期保值衍生金融工具的公允价值部分被归类为流动资产或负债。当套期保值衍生工具的公允价值部分在资产负债表日后的期限超过12个月时,该衍生工具被归类为非流动资产或负债。衍生金融工具包括在其他资产和应计负债和其他负债在合并资产负债表中,分为流动和非流动。
公允价值计量
公平值为市场参与者于计量日期进行有序交易时出售资产所收取或转让负债所支付的价格。公平值计量架构按以下方法优先考虑用于计量公平值的估值技术的输入数据:
–第一层:估值基于输入数据,例如实体有能力进入的活跃市场的相同资产或负债的报价。
–第二层:根据第一级输入数据以外的输入数据进行估值,例如类似资产或负债的报价、不活跃市场的报价,或可观察或可由资产或负债大部分整个年期的可观察数据确证的其他输入数据。
–第三层:估值乃基于极少或无市场活动支持且对资产或负债之公平值属重大之输入数据。
公平值等级制度给予相同资产或负债于活跃市场之报价(未经调整)最高优先级(第一层),而不可观察输入数据则给予最低优先级(第三层)。金融工具之公平值分类乃基于公平值计量层级中任何重要输入数据之最低层级。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 259 |
| 合并财务报表附注(续) | | | | |
按经常性基准按公允价值计量的金融资产及金融负债
货币市场基金投资(包括在现金及现金等价物内)按相同资产或负债的报价进行公平值计量。
我们的短期投资包括原到期日向持有投资实体持有投资的原到期日超过三个月及一年或以下的存款,该等实体向具有投资级信贷评级的金融机构存放。按金之公平值乃参考类似资产于活跃市场之市场报价或贴现现金流量分析厘定。
我们执行衍生工具合约的主要市场为场外交易环境中的机构市场,价格透明度高。市场参与者通常是大型商业银行。衍生工具合约之估值输入数据乃根据公开数据来源之报价及报价区间计算,不涉及管理层判断。
用于厘定远期外汇合约(用作对冲用途)之公平值之估值技术与净现值技术相若,净现值技术为银行于报告日期终止远期外汇合约而收取或支付之估计金额,并计及现行利率及现行汇率。
用于确定利率掉期(用于对冲目的)的公允价值的估值技术是净现值技术,即考虑到当前利率,银行将在报告日期收到或支付终止掉期协议的估计金额。
四我们的未偿还欧元债券,本金总额为欧元320亿欧元,作为公允价值对冲关系中的对冲项目,在这种关系中,我们通过利率互换来对冲由于市场利率变化而导致的欧洲债券公允价值变化的可变性。我们在2020年发行的欧洲债券没有套期保值。利率互换的公允价值变动于综合资产负债表的衍生金融工具项下记录,而欧元债券的账面金额只就该等公允价值变动的有效部分作出调整。有关我们的欧元债券的实际账面总额和公允价值,请参阅附注16长期债务和利息及其他成本。
下表列出了我们按公允价值经常性计量的金融资产和金融负债:
| | | | | | | | | | | | | | | | | |
截至2022年12月31日的年度(欧元,百万欧元) | 1级 | 2级 | 3级 | | 总计 |
按公允价值计量的资产 | | | | | |
衍生金融工具1 | — | | 17.3 | | — | | | 17.3 | |
货币市场基金2 | 3,196.7 | | — | | — | | | 3,196.7 | |
短期投资3 | — | | 107.7 | | — | | | 107.7 | |
总计 | 3,196.7 | | 125.0 | | — | | | 3,321.7 | |
按公允价值计量的负债 | | | | | |
衍生金融工具1 | — | | 261.2 | | — | | | 261.2 | |
已披露公允价值的资产和负债 | | | | | |
应收贷款 | — | | — | | 307.9 | | | 307.9 | |
长期债务4 | 4,072.8 | | — | | — | | | 4,072.8 | |
| | | | | | | | | | | | | | | | | |
截至2021年12月31日止年度(欧元,百万美元) | 1级 | 2级 | 3级 | | 总计 |
按公允价值计量的资产 | | | | | |
衍生金融工具1 | — | | 99.5 | | — | | | 99.5 | |
货币市场基金2 | 2,928.3 | | — | | — | | | 2,928.3 | |
短期投资3 | — | | 638.5 | | — | | | 638.5 | |
总计 | 2,928.3 | | 738.0 | | — | | | 3,666.3 | |
按公允价值计量的负债 | | | | | |
衍生金融工具1 | — | | 2.8 | | — | | | 2.8 | |
已披露公允价值的资产和负债 | | | | | |
应收贷款 | — | | — | | 124.4 | | | 124.4 | |
长期债务4 | 4,673.9 | | — | | — | | | 4,673.9 | |
1.衍生金融工具包括远期外汇合约及利率掉期。
2.货币市场基金是我们现金和现金等价物的一部分。
3.短期投资包括向持有投资之实体原到期日超过三个月但于收购日期一年或以下之存款。该等存款按接近其公平值的摊销成本估值。其公平值乃参考类似资产于活跃市场的市场报价或贴现现金流量分析厘定。
4.长期债务主要与欧元债券有关。
有几个 不是于截至2022年12月31日及2021年12月31日止年度,各层级之间的转拨。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 260 |
| 合并财务报表附注(续) | | | | |
不按公允价值计量的金融资产及金融负债
现金及现金等价物、应付账款及其他流动金融资产及负债之账面值与其公平值相若,乃由于该等工具之短期性质。
货币市场与投资基金计量
货币市场和投资基金符合可供出售证券的条件。公平值与账面值接近,原因是短期性质及与投资级信贷评级的投资有关。信贷损失备抵和未实现损益总额接近零。这些货币市场基金可以每天调用。货币市场基金之投资及赎回乃按每日按实际现金结余触发进行管理。鉴于利率低及信贷评级高,该等货币市场基金之已实现收益及亏损并不重大。证券成本几乎为零。截至2022年12月31日,ASML并无交易证券。
沉积物测量
作为现金及现金等价物及短期投资一部分之存款合资格为持至到期之证券。由于短期性质及与投资级信贷评级的投资有关,摊销成本值接近公平值及账面值。信贷损失备抵和未实现损益总额几乎为零。到期日为一年或以下,到期日前并无出售持有至到期证券。
非经常性按公允价值计量的资产和负债
在2021年和2022年,我们将d 不是按非经常性基准对常规业务活动进行重大公允价值计量。我们做 不是于二零二一年及二零二二年,并无就商誉及其他无形资产确认任何减值开支。有关于二零二零年收购BerlinerGlas(ASML Berlin GmbH)及其后于二零二一年出售非半导体业务之公平值计量,吾等参阅附注10业务合并及出售。
26. 关联方和可变利益实体
Carl Zeiss SMT GmbH是我们唯一的供应商,也是他们唯一的客户,用于光刻系统的光学柱。Carl Zeiss SMT GmbH仅能够开发和生产有限数量的此类产品,并且只能使用位于德国Oberkochen和Wetzlar的制造和测试设施。我们与Carl Zeiss SMT GmbH的合作关系是一个战略联盟,在“两家公司,一个业务”的原则下运行,专注于持续创新和改善光刻业务的卓越运营。
我们有一个24.9Carl Zeiss SMT Holding GmbH & Co. KG(最终母公司为Carl Zeiss AG)的%权益,该公司拥有 100Carl Zeiss SMT GmbH的%股份。基于 24.9Carl Zeiss SMT Holding GmbH & Co. KG及其子公司被视为关联方。此外,我们已确定Carl Zeiss SMT Holding GmbH & Co. KG是一个可变权益实体,因为该实体成立时没有实质性投票权,因为我们的投票权与我们的经济状况之间存在差异,而且Carl Zeiss SMT Holding GmbH & Co. KG的几乎所有活动都涉及我们或代表我们进行。然而,我们不是可变利益实体的主要受益者,因为我们缺乏权力来指导那些对Carl Zeiss SMT Holding GmbH & Co. KG的经济表现影响最大的活动。
自1997年以来,我们与Carl Zeiss SMT GmbH签订了多项框架协议。
2021年框架协议
我们于二零二一年九月与Carl Zeiss SMT GmbH订立新框架协议,自二零二一年初生效。该协议(我们称之为二零二一年框架协议)取代了我们现有的主要框架协议,并协调了我们的业务利益,以专注于支持我们的最终客户。框架协议的主要组成部分是:
–促进相互尊重和理解的行为和互动模式
–一种治理模式,使两家公司能够在决策和业务战略执行方面变得更加有效和一致,通过相互批准(i)影响光刻业务的某些投资决策,以及(ii)Carl Zeiss SMT GmbH提供的所有产品的要求
–由ASML和Carl Zeiss SMT GmbH在光刻业务中的相关年度财务业绩决定的产品和服务采购的新可变定价模式
–如果满足某些标准,则通过产品交付额外预付款提供现金支持,以确保Carl Zeiss SMT GmbH在年度内达到最低调整后的自由现金流下限
–ASML承诺为Carl Zeiss SMT GmbH的资本支出提供最高为€1 如果卡尔蔡司SMT有限公司执行光刻业务路线图所需的投资超过一定的阈值,则每年计算10亿美元
融资通过贷款协议进行,关键条款如下:
–十年定期贷款与线性年偿还后, 三年宽限期
–利率下限为0.01%和上限为1%
–自愿提前还款选择权,无罚款
–这笔贷款由蔡司股份公司的父母担保担保
两家公司于二零二一年框架协议中同意,即使在新框架协议终止的情况下,仍将继续保持战略联盟关系,以满足终端客户需求。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 261 |
| 合并财务报表附注(续) | | | | |
从以前的协定过渡
2016年,我们与Carl Zeiss SMT GmbH达成协议,支持其在EUV 0.55 NA(高NA)方面的研发成本、资本支出和供应链投资。根据我们的新框架协议,从2021年开始,这些付款将不再支付。我们支付了€969.1 在新框架协议生效修订日期之前,305.5 与研发费用有关的百万美元,这笔费用不予偿还,以及欧元663.6 与资本支出和供应链投资有关的百万美元。资本开支及供应链投资支持的还款方式已转换为于二零二一年至二零三二年期间每年偿还予ASML。该金额在其他资产中作为预付给Carl Zeiss SMT GmbH的款项列报。新框架协议不会改变与这些资产相关的风险。
在新的购买产品和服务的可变定价模型中,ASML的现金流出被确定为目前二元素。首先是购买产品和服务的现金流出,反映在我们的库存估值和销售成本中。第二项是为卡尔·蔡司SMT有限公司提供的High-NA的研发资金,这些成本在研发成本中列报。2022年,这一数额被确定为€76.6万 (€61.2万2021年)。根据之前的High-NA协议,我们产生的研发成本为€96.1万在2020年。
一笔初始贷款€124.4万已于2021年9月29日提供,第二笔贷款为欧元240.0截至2022年9月30日,已提供100万美元。借给卡尔·蔡司SMT有限公司的贷款按摊销成本估值,并在综合资产负债表中作为应收贷款列报。根据之前的High-NA协议,我们为2020年的资本支出和供应链投资提供了支持€221.41000万美元。
除了High-NA支持外,我们还提供无息预付款,以支持Carl Zeiss SMT GmbH的在制品。这些付款是为了确保光学柱交付,这些预付款通过未来的透镜或光学柱交付结算,也在其他资产中列报。新的框架协议没有改变我们结算以前支付的金额的权利,也没有改变与这些资产相关的风险。我们将继续支持卡尔·蔡司SMT有限公司在新框架协议下的工作,通过产品交付的预付款。
下表显示了卡尔·蔡司SMT Holding GmbH&Co.kg及其子公司在我们的综合资产负债表中的未偿还余额,以及我们面临的最大亏损风险:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2021 | | 2022 | 最大损失风险 |
计入其他资产的预付款 | 982.8 | | | 1,100.3 | | 1,100.3 | |
预付款包括在财产、厂房和设备中 | 82.1 | | | 70.0 | | 70.0 | |
应收贷款 | 124.4 | | | 364.4 | | 364.4 | |
| | | | |
的投资协议24.9股权百分比 | 892.5 | | | 923.6 | | 923.6 | |
应付帐款 | 482.7 | | | 269.2 | | — | |
应支付的费用包括在应计负债和其他负债中 | — | | | 111.2 | | — | |
| | | | |
我们参与Carl Zeiss SMT Holding GmbH & Co. KG作为可变利益实体的最大损失风险包括各项资产的账面值,以及Carl Zeiss SMT Holding GmbH & Co. KG的任何未来经营损失的风险,这些风险无法量化。
卡尔·蔡司SMT Holding GmbH&Co.KG及其子公司的采购总额如下:
| | | | | | | | | | | | | | |
截至12月31日的一年(欧元,百万欧元) | 2020 | 2021 | | 2022 |
总购买量 | 1,623.9 | | 2,070.3 | | | 2,693.6 | |
其他关联方考虑
有过不是ASML或其任何附属公司、任何其他主要股东、任何董事或高级职员、或其任何亲属或配偶之间的交易,但在日常业务中的安排除外。在我们最近的财政年度, 不是,目前, 不是,ASML或其任何联系人所欠或欠ASML的任何董事或高级职员的未偿债务。此外,ASML没有向管理委员会或监事会成员提供任何个人贷款、担保等。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 合并财务报表附注续 | 战略报告 | 治理 | 金融类股 | 262 |
| 合并财务报表附注(续) | | | | |
27. 后续事件
后续事件的评估截止到2023年2月15日,也就是本年度报告中包含的合并财务报表获得批准的日期。
2023年1月25日,2022年全年股息总额为欧元5.80每股普通股公布。
中期股息为欧元1.37每股普通股将于2023年2月15日支付。
确认这一中期股息和二欧元的中期股息1.372022年支付的每股普通股,这将导致向欧元大会提交末期股息建议1.69每股普通股。
荷兰维尔德霍温
2023年2月15日
/S/彼得·T·F·M·温宁克
彼得·T.F.M.温宁克
总裁,首席执行官兼管理委员会成员
/S/罗杰J.M.达森
罗杰·J.M.达森
常务副首席财务官兼管理委员会成员总裁
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | | 战略报告 | 治理 | 金融类股 | 263 |
| | | | | | | | | | | | | | |
| | | | |
| | 非财务报表 | |
| | | | |
| | 在本节中 | |
| | | | |
| | 264 | 独立审计员的保证报告 | |
| | 266 | 关于非财务信息 | |
| | 272 | 非财务指标 | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务报表 | 战略报告 | 治理 | 金融类股 | 264 |
| 独立审计员的保证报告 | | | | |
致:ASML Holding N.V.股东大会和监事会
我们的结论
吾等已审阅ASML Holding N.V.(下称:“本公司”)于截至2022年12月31日止年度的非财务资料(下称:非财务资料),该等非财务资料已载入ASML Holding N.V.的2022年年报(下称“年报”)。审查的目的是获得有限程度的保证。
根据所执行的程序,吾等并无注意到任何事项令吾等相信年报所载的非财务资料在各重大方面并非按照吾等报告的“申报准则”一节所述的申报准则编制。
非财务信息包括在年度报告的战略报告章节(第4-149页)以及非财务报表(第263-289页)中。以下具体段落不在保证范围之内:前瞻性陈述(第4页)、与首席技术官的问答(第20-21页)、与首席财务官的问答(第41-43页)、财务业绩(第44-50页)、风险(第52-68页)和我们的故事(第8、22、30、40、51、69、149页)。
我们结论的基础
我们根据荷兰法律进行审查,包括荷兰标准3810N:“与可持续性报告有关的保证活动”,这是一项特定的荷兰标准,基于国际保证活动标准(ISAE)3000:“审计或审查历史财务信息以外的保证活动(证明活动)”。这种接触的目的是获得有限的保证。
我们在这方面的责任在我们报告的“审计员的责任”一节中作了进一步说明。
我们独立于ASML Holding N.V.,根据《会计准则》(VIO,一项关于独立性的规定),独立于ASML Holding N.V.。此外,我们还遵守了《会计准则》(VGBA,荷兰道德准则)。
我们相信,我们所获得的保证证据是充分和适当的,可以为我们的结论提供基础。
报告标准
非财务信息需要与报告标准一起阅读和理解。ASML Holding N.V.单独负责选择和应用这些报告标准,并考虑到与报告相关的适用法律和法规。
用于编制非财务信息的报告标准为全球报告倡议通用标准和适用的补充报告标准,如年报“关于非财务信息”一节所披露。
重要性
基于我们的专业判断,我们确定了非财务信息的每个相关部分以及作为一个整体的非财务信息的重要性水平。在评估我们的重要性水平时,我们考虑了定量和定性的考虑因素,以及信息与利益相关者和公司的相关性.
我们同意监事会的意见,即应向监事会报告在审查期间发现的、我们认为必须在数量或质量基础上报告的错误陈述。
小组评审的范围
ASML Holding N.V.是一组实体的母公司。非财务信息在年报“关于非财务信息”中规定的范围内纳入了这组实体的综合信息。
我们的小组审查程序包括公司(合并)层面和实体层面的审查程序。
通过在实体层面执行我们的审核程序,以及在公司层面执行额外的审核程序,我们能够获得关于集团非财务信息的充分和适当的保证证据,以提供关于非财务信息的结论。
对我们审查范围的限制
非财务信息包括预期信息,如目标、战略、计划、预期和估计。实际未来结果本质上是不确定的。吾等不就非财务资料中预期资料之假设及可靠性作出任何保证。
非财务资料中对外部来源或网站的引用并非我们审阅的非财务资料本身的一部分。因此,我们不对这些信息提供保证。
管理委员会的职责
管理委员会负责根据本报告“报告准则”一节所述的适用准则编制非财务资料,包括识别持份者及定义重大事项。管理委员会就非财务资料范围作出的选择报告名称及报告政策概述于“关于非财务资料”一节(年报第266至271页)。
此外,管理委员会负责其认为必要的内部监控,以使非财务资料的编制不存在由于欺诈或错误而导致的重大错误陈述。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务报表续 | 战略报告 | 治理 | 金融类股 | 265 |
| 独立审计员的保证报告(续) | | | | |
除其他事项外,监事会负责监督公司的报告程序。
核数师的责任
吾等之责任为计划及执行吾等之审阅,以使吾等能就吾等之结论获得充足及适当之保证证据。
为获得有限水平保证而执行的程序旨在确定资料的可靠性,其性质及时间各不相同,且与合理保证业务相比程度较低。在有限担保业务中获得的担保水平远低于假设进行合理担保业务时应获得的担保水平。
我们采用“Nadere Voorschriften Kwaliteitssystemen”(NVKS,质量管理体系法规),并相应地维护全面的质量控制体系,包括关于遵守道德要求、专业标准和适用法律法规要求的书面政策和程序。
吾等已根据荷兰标准3810N、道德要求及独立性要求,行使专业判断,并于整个审阅过程中保持专业怀疑态度。
我们的审查包括:
–对外部环境进行分析,了解相关的社会主题和问题,以及公司的特点;
–评价所用报告标准的适当性及其在非财务信息中的一致适用和相关披露。这包括评价利益攸关方对话的结果和管理委员会所作估计的合理性;
–了解非财务信息的报告流程,包括对与我们的审查相关的内部控制的一般了解;
–确定非财务信息中最有可能发生重大错误陈述的领域,无论是由于欺诈还是错误,设计并执行针对这些领域的保证程序,并获得足够和适当的保证信息,为我们的结论提供基础。我们的程序包括:
–与负责战略、政策和成果的管理层和相关人员面谈;
–约谈负责为非财务信息提供信息、执行内部控制程序、合并非财务信息数据的相关人员;
–获取非财务信息与公司基础记录相符的保证信息;
–在有限试验的基础上审查相关的内部和外部文件;
–对数据和趋势进行分析审查。
–评估非财务信息与报告中不属于我们审查范围的信息的一致性;
–评价非财务信息的列报、结构和内容;
–考虑包括披露在内的非财务信息作为一个整体是否反映了所使用的报告标准的目的。
除其他事项外,我们已与管理委员会和监事会就审查的计划范围和时间以及我们在审查期间确定的重要结论进行了沟通。
安斯特尔文,2023年2月15日
毕马威会计师事务所
P.J.格伦兰-范德林登RA
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务报表续 | 战略报告 | 治理 | 金融类股 | 266 |
| 关于非财务信息 | | | | |
报告范围
本年报披露的内容1根据2022年重要性评估为ASML和我们的持份者确定的重要主题。作为重要性评估的一部分,我们要求内部和外部持份者确定主题在价值链中的哪个环节会产生影响。该过程在2021年GRI通用标准要求的范围内进行。
更多内容请阅读:
我们的重要ESG可持续发展主题。
为每个重要专题报告的信息和指标的范围与财务报告范围一致。相关的例外情况和规范可在本章末尾的报告范围表中找到。
本年报大致涵盖ASML于2022年1月1日至2022年12月31日的表现,并将于2023年2月15日刊发。
本报告中的财务信息来自我们符合美国公认会计原则的财务报表。本报告中有关环境、社会及管治可持续发展策略表现的资料的报告基准乃根据2021年GRI通用准则编制。
2021年GRI通用标准于2022财政年度生效。修订后的重要性方法和专题标准的使用导致被认为与ASML报告相关的GRI指标大幅增加。
有关我们遵守2021年GRI通用标准(GRI内容索引)的详细信息,可在网站上提供的单独报告补充文件中找到。
1.我们发布了两份年度报告。年度报告的一个版本是按照美国公认会计原则编写的。年度报告的另一个版本是根据欧盟国际财务报告准则编写的,也符合《荷兰民法典》第2卷第362.9条的规定。为了内部和外部报告目的,我们采用美国公认会计原则。美国公认会计原则是我们制定财务和运营绩效目标的主要会计准则。
报告进程
每个主题都有一名负责人,负责主题目标、战略和相关业绩指标,以及及时提供报告和监测战略执行情况的内容和相关数据。这些数据由财务部审查和合并。自2022年起,本集团成立了一个负责环境、社会及管治报告的新团队,旨在跟踪遵守相关准则的情况。
报告方法
本报告所披露的非财务数据来自不同来源,而处理数据的方式因我们的营运附属公司及部门而有所不同。由于测量和估计数据的局限性,这就造成了一定程度的不确定性。我们继续致力于改善我们的可持续发展控制环境和数据收集流程。请参阅以下各节,我们将详细介绍报告指标时使用的方法和假设。
排放物
方法论概论
《纽约时报》2e报告的排放量符合《温室气体议定书》。计算范围1和范围2排放量(包括项目节能产生的温室气体减排量)的基准年是2021年,届时启动了新的总体规划。计算与范围3相关的温室气体排放量的基准年为二零一九年(根据二零一八年数据),原因为二零一九年至二零二五年可持续发展策略规划期的第一年。在这一年中,排放量没有发生导致重新计算基准年排放量的重大变化。DEFRA(英国环境、食品和农村事务部)2021年排放系数用于将指定数量的能量或活动系数换算为kg CO2.对于范围3,其他来源用于转换,详情见范围3一节。
就范围1及范围2排放而言,采用营运控制合并法以厘定计算所包括的地点。考虑的ASML生产地点包括Veldhoven(包括Oirschot),Wilton,圣地亚哥和林口,ASML台南和硅谷。其他地点包括中国(北京和上海)、韩国(华成、利川和平泽)、台湾(新竹、台南办事处)、美国(钱德勒和希尔斯伯勒)和荷兰(代尔夫特)。这一范围涵盖了95%的公司温室气体排放量,来自制造地点以及超过250个FTE的办公地点。
直接(范围1)温室气体排放
范围1排放量以kt表示。《纽约时报》2足迹包括化石燃料的燃烧(其中只有天然气与ASML相关)。其计算方法是将单位消耗量乘以当地换算系数(x kg CO2每米3天然气)。在我们以往的年度报告中,我们报告了总排放量和净排放量,但由于ASML不抵消任何剩余排放量,因此总排放量和净排放量之间没有差异,因此不再报告划分。
间接能源(范围2)温室气体排放
范围2的排放量也用kt和CO表示2足迹的计算方法是将生产地点的电力消耗乘以市场或当地排放系数(x kg CO2每千瓦时)。基于市场的排放系数以供应商的排放率为基础。基于地点的排放系数是基于国家、次国家和电网一级的信息。所有排放因子每年由企业房地产团队在可持续发展绩效指标系统(myEHS)中存储和检查,并在该系统中自动完成计算。每年酌情更新基于市场和基于地点的排放系数。
在我们以往的年度报告中,我们以市场为基础的方法在购买EAC前的总排放量报告。我们还将基于市场的排放量(在购买EAC后)列为净排放量。由于ASML目前不抵消任何剩余排放,我们的总排放量和净排放量之间没有差异,我们只报告基于市场的排放量(在购买EAC后)。这是第一年也报告了基于地点的排放系数。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务报表续 | 战略报告 | 治理 | 金融类股 | 267 |
| 关于非财务信息(续) | | | | |
其他间接(范围3)温室气体排放
我们测量并报告价值链中活动产生的间接排放—范围3排放。此类别包括我们营运产生的排放,以及上游供应链及下游客户使用我们产品的排放。根据温室气体议定书《企业价值链(范围3)会计和报告标准》,范围3排放包括15个类别,其中9个类别对ASML而言是重要的。的co2每一类别的排放量的计算方法是将活动的能耗或活动因素乘以具体排放因素(例如,x kg CO2每千瓦时或欧元支出)。
在使用报告的信息时,需要考虑以下方法、假设和数据可靠性:
–由于其性质,范围3排放数据包括一个时滞。因此,报告年度报告的排放量乃使用九个月的实际数据来源及三个月的估计计算。在前几年,报告的排放量是使用一年前的实际数据来源计算的。
–第1类采购货物和服务、第2类资本货物:我们采用基于支出的方法,通过收集采购货物和服务的经济价值数据,并将其乘以相关的次要排放系数(例如,行业平均排放系数)(例如,货物的单位货币价值的平均排放量),估计货物、服务和资本货物的排放量。使用了DEFRA排放数据库。
–第3类与燃料和能源有关的活动:采用平均数据法,我们通过使用二次排放系数估计排放量。使用BEIS、DEFRA和国家可再生能源实验室排放数据库。
–类别4上游运输及分销:一般而言,约90%的排放量采用距离法计算,我们直接从主要物流供应商收到排放报告。其余的排放来自较小的物流供应商,并采用ASML公路货运平均排放系数估算。
–第5类营运中产生的废物:采用废物类型特定方法,我们使用每种废物类型及处理方法的排放系数。使用Ecovent的排放系数。
–Cat.6商务旅行:使用DEFRA排放数据库,并采用以下方法:
–航空旅行:我们使用基于距离的方法,并根据距离和旅行类别选择适当的排放因子。
–酒店住宿:我们使用基于燃料的方法,以酒店住宿的夜数为例,应用不同国家每晚平均能源使用量的排放系数。
–汽车租赁:我们使用基于燃料和基于距离的方法,为此我们直接从汽车租赁公司收到排放报告。
–出租车和公共交通:我们采用基于支出的方法,其中包括确定在运输上花费的资金数额和应用次要(环境扩展投入产出或EEIO)排放系数。
–第7类员工通勤:我们采用以距离为基础的方法,包括收集荷兰雇员通勤模式的数据(旅行距离和运输模式),并对所使用的模式应用适当的排放因子。我们用徽章刷卡号码来计算平均来办公室的员工人数。对于荷兰以外的员工,交通模式数据尚不可用,因此我们假设他们都是以与荷兰相同的驾驶距离开车。使用了DEFRA排放数据库。
–Cat.11销售产品的使用:我们通过测量产品的能源使用来计算直接使用阶段的排放。 我们通过与开发和工程部以及营销团队讨论客户调查数据,估计常见的全职和空闲时间机器用户场景。在此基础上,我们计算每种产品的年能耗,并乘以报告年度销售的产品。然后将所得数字乘以20年的寿命。最后,我们应用IEA数据库中基于国家的排放因子,将能源消耗转化为排放。
–第12类销售产品的报废处理:我们采用废物类型特定方法。基于对产品材料成分的高水平估计,我们对特定废物类型和废物处理方法应用排放因子。使用了Ecoinvent数据库。
温室气体排放强度
温室气体排放强度按范围1、2及3净排放量之总和除以ASML总收益计算。唯一包含的气体是CO2因为其他温室气体是可以忽略的。
减少温室气体排放
我们测量并报告因节能而减少的温室气体排放量。有关用于估算能源节约的过程的详细信息,请参见本章中的项目在全球范围内节约能源一节。为了计算CO2估计节省的能源将乘以本地电力排放系数和气体使用的气体排放系数。
氮氧化物(NOX)、硫氧化物(SOX)和其他重要的空气排放
我们目前测量和报告荷兰和威尔顿的挥发性有机化合物(VOC)。数据在myEHS中报告。对于挥发性有机化合物,我们计算的空气排放量是我们购买的和我们处置给废物供应商的之间的差异。对于Veldhoven,采购价值来自我们的SAP系统,废弃物供应商确认。对于威尔顿来说,使用情况是手动监控的。我们计划于二零二三年评估圣地亚哥、圣何塞、林口及台湾的挥发性有机化合物的重要性。我们亦计划于二零二三年重新评估该指标的重要性,以确定未来报告其他重大空气排放是否相关。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务报表续 | 战略报告 | 治理 | 金融类股 | 268 |
| 关于非财务信息(续) | | | | |
能量
通过项目在全球节约能源
我们报告了在五年能源节约总体计划期间,通过改进技术安装为ASML制造地点节省的累计成本。目前的总体规划从2021年持续到2025年。报告中提出的能源节约代表测量或估计的节约。我们将我们节省的能源与我们估计的在业务正常情况下使用的能源进行比较 没有通过专门的节能项目实现的效率提高。能源节约主要包括天然气和电力消耗的减少。报告的能源节余是报告年度最后确定的项目和已执行并以TJ报告的项目的年化节余。
组织内部的能源消耗
组织内部的能源消耗以TJ表示,包括化石燃料和电力消耗,用于报告所述期间ASML制造地点的能源目的。该范围涵盖制造地点以及办公地点超过250 FTE的95%的公司温室气体排放。然后使用标准换算系数将表示所消耗能量的单位换算为Tj。
组织外的能源消耗
组织外的能源消耗以TJ表示,定义为ASML与其运营相关的整个上游和下游活动的能源消耗。根据《温室气体议定书》,范围与我们范围3中报告的排放类别保持一致。
计算将根据范围3中报告的排放类别进行。对于每个类别,都采用了以下方法:
–Cat.1购买的货物和服务,Cat.2资本货物:使用以支出为基础的方法,我们通过收集有关购买的货物和服务的经济价值的数据,并将其经济价值乘以相关的二级(例如,行业平均)排放系数(例如,货物每货币价值的平均排放量),来估计货物和服务以及资本货物的排放量[GCO2/欧元])。使用DEFRA数据库中的排放系数。总排放量除以国际能源署用于发电和制热的世界平均排放系数。[GCO2/千瓦时]以获得总能量。然后将该数量调整为正确的单位[T焦耳]使用能量转换系数。
–第3类与燃料和能源有关的活动:据报告,这一类别的活动在卫生部和田纳西州。就电力而言,能源消耗因输配损耗而调整5%(世界银行),总能源是根据环评中天然气发电所需的平均能源计算的。然后,使用相应的能量转换系数将该值转换为Tj。在天然气输送和分配的情况下,假定损失最小,不予考虑。然后,根据累计能源需求,即获得天然气的一次能源需求的总和,计算出能源。最后,使用相应的能量转换系数将该值转换为Tj。
–Cat.4上游运输和分配:报告的排放量分为五类:航空、其他、铁路、公路和海运。然后将这些排放除以相应的燃料排放系数。[千克CO2E/kWh(净CV)]从DEFRA。对于空气,假设所有飞机都消耗化石燃料的航空喷射。就海上而言,假定船舶由于ECA区的限制而消耗MGO。 对于铁路,假设电力用于为列车提供动力。对于公路和其他,假设运输使用柴油。最后,使用相应的能量转换因子将该值转换为TJ。
–第5类作业中产生的废物:排放量按处理方法报告。这些方法是焚烧而不回收能源和填埋。排放量除以DEFRA提供的每吨平均废物系数排放量,然后乘以所用处理方法消耗的能源(填埋系数从DEFRA获得,焚烧系数从明尼苏达州污染控制局获得)。回收利用和焚烧能源回收不予考虑。最后,使用相应的能量转换因子将该值转换为TJ。
–第6类商务旅行:使用DEFRA排放数据库并采用下列方法:
–航空旅行:航空旅行报告的排放量除以每千瓦时燃料的排放量,假设所有飞机都消耗化石燃料的Aviation Spirit。然后将所得值调整为正确的单位 [泰杰].
–酒店住宿:酒店入住天数乘以世界各地酒店每晚平均能耗(资料来源:康奈尔酒店可持续性基准指数)。然后将数值调整为正确的单位 [泰杰].
–汽车租赁、出租车和公共交通:使用与航空旅行类似的方法,但替代航空精神燃料被认为是汽油(DEFRA,汽油100%矿物)。
–第7类雇员通勤:根据所使用的交通方式报告排放量。假设汽车运输造成了100%的排放,其他交通方式由于其低贡献而被忽略。这些排放量除以每千瓦时燃料的排放量,假设所有汽车消耗汽油(DEFRA,汽油100%矿物)。最后,将所得值调整到正确的单位, [泰杰].
–Cat.11销售产品的使用:我们产品的能源使用是已知的。该能源使用量乘以所售系统数量,使用寿命为20年(根据《温室气体议定书》)。然后将该值调整为正确的单位 [泰杰].
–第12类已售出产品的报废处理:只考虑填埋活动,不考虑其他活动。废物总量按DEFRA每吨金属废物的排放量计算,能源按每吨废物消耗的能源估算。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务报表续 | 战略报告 | 治理 | 金融类股 | 269 |
| 关于非财务信息(续) | | | | |
由于这是报告的第一年,这一指标是以目前开放源码数据库中的数据为基础的。使用的一些换算系数可能精度较低,或者代表特定情况,而不是平均值。每年都需要重新评估能量转换系数,以提高准确性并减少估计的不确定性。
能源强度
能源强度是组织内部的总能源消耗,归一化为收入(TJ/百万欧元)。能源消费总量包括用于能源目的的化石燃料和购买的电力总量。柴油被认为是无关紧要的,不包括在此计算中。
减少产品和服务的能源需求
我们测量并报告我们机器的能效。为此,我们根据Semi S23标准为我们最新的NXE和NXT机器测量功耗,并扩展到100%的可用性。对于NXE,我们包括源、扫描仪、激光、PVAC和消除器以及相关机柜。对于NXT,我们不包括激光,但包括天然气和水供应。能量以千瓦时为单位反映在每一次晶圆加工中。
为了计算机器的能效(即,每道晶片的能耗),我们将每年的TEE(总能量当量)消耗量除以每年使用的晶片(假设系统100%可用)。
我们报告了从2018年基线开始的能源消耗减少的百分比,这一年我们开始致力于EUV系统的节能。
循环经济
过去30年销售的系统仍在现场使用的百分比
我们监控客户群中的活动系统数量。这包括我们的EUV、DUV和PAS5500系统。我们计算了所有已售出且仍在使用的系统的百分比。外地的一些系统可能没有得到ASML的服务,但可以运作。对于指标‘活动系统的百分比’,我们对活动但未由ASML提供服务的系统部分进行假设。根据历史信息和经验,我们确定33%的非ASML服务系统仍在该领域处于活动状态。
对所有人都有吸引力的工作场所
男女基本工资与现金总额之比
我们报告了女性和男性员工的基本工资与现金总额的比率。就这一指标而言,重要的业务地点是亚洲、美国和欧洲。除了一些例外,这反映了大多数其他人力资源报告。
在去年的更新中,我们现在更详细地报告这一指标。我们按地区按员工类别报告,而不是按员工类别和按地区单独报告。
职业健康与安全
受职业健康和安全管理体系保护的工人
这一指标的计算方法是:将报告制度涵盖的雇员和承包人人数相加,并用这一总数除以雇员和承包人总数,包括制度未涵盖的雇员和承包人。没有工人被排除在外。参观总人数超出了范围。该定义包括:
–雇员,永久和临时的。
–承包商:不是雇员,但其工作和/或工作场所由组织控制的工人,包括顾问、实习生和外包。
作为内部审计的一部分,EHS报告系统对照国际标准化组织14001标准进行评估。它不是由外部方认证的。根据GRI的定义,非现场工作的外包承包商不在ASML EHS管理体系的范围内,因为ASML不控制他们的工作或工作场所。
工伤事故
我们测量和报告可记录的事故率以及可记录的伤害和严重后果伤害的数量和比率。这些指标涉及在反洗钱法监督下工作的所有雇员和承包商,并按工人类型分列(不包括工人)。
定义
–可记录事件是指在报告所述期间全球所有ASML地点因工作环境中发生的事件或暴露而造成的人身伤害和/或疾病,需要急救以外的治疗,或导致死亡,或离开工作天数、限制工作或调任另一工作的与工作有关的事件。可记录伤害的定义与工作相关事故相同,但不包括疾病。
–高后果工伤是指在本报告所述期间,全球所有反兴奋剂机构工作地点因工作环境中发生的事件或暴露而造成人身伤害的工伤事件数量,这些事件导致休假天数或工作调动天数等于或超过180天。
–如果工作环境中的事件或接触导致或促成了这种情况,或显著加重了先前存在的情况,则被视为与工作有关的伤害或疾病。工作场所发生的事件或暴露导致的伤害和疾病被推定为与工作有关,除非有特别的例外情况。工作环境包括作为雇用条件的一名或多名雇员正在工作或在场的场所和其他地点。
–对于事故、伤害和严重后果工伤,费率按照OSHA指南计算:
–可记录的事故或伤害或严重后果工伤的数量乘以200,000,再除以员工的工作小时数。然后将结果乘以100%。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务报表续 | 战略报告 | 治理 | 金融类股 | 270 |
| 关于非财务信息(续) | | | | |
–薪酬指标仅为员工计算。对于承包商,由于缺乏关于工作小时数的基准人力资源数据,因此无法计算事故率。对于此类别,仅报告绝对值。
与工作相关的健康问题
这一指标的定义是,在报告所述期间内报告的与工作有关的健康问题的数量,按工人类型(雇员和承包商)分列,不包括工人。
与工作有关的健康不良包括由工作条件或做法引起或加重的急性、反复和慢性健康问题。这些疾病包括肌肉骨骼疾病、皮肤和呼吸系统疾病、恶性癌症、物理因子引起的疾病。这一披露涵盖但不限于劳工组织职业病清单中所列的疾病。
健康状况不佳的病例报告如下:
–可记录的与工作有关的疾病病例数量
–与工作有关的健康不良的主要类型(危险类别)
我们应用以下工人类型的定义:
–长期和临时雇员。
–承包人:非雇员但其工作和/或工作场所受组织控制的工人
我的EHS事件数据用于提取健康不良事件。精神疾病不在EHS管理体系的范围内。
当地社区
与当地社区参与、影响评估和发展方案的运营
我们衡量并报告实施当地社区参与、影响评估和发展计划的运营百分比。为了确定我们每个地点所代表的总运营百分比,我们查看该地点的员工人数除以员工总数。选择雇员人数是因为假设某个地点的雇员人数是对当地社区影响的重要决定因素。该指标的计算需要对适用地点的雇员总数进行求和,然后将该总和除以雇员总数。目前,我们有五个适用的社区参与计划的地点(荷兰韦尔德霍文(荷兰),威尔顿,康涅狄格州(美国),硅谷,加利福尼亚州(美国),圣地亚哥,加利福尼亚州(美国),新竹(台湾)。其他ASML地点的社区参与计划较小,但没有专门的社区参与FTE和计划被排除在外。
报告范围表
下表澄清了按专题报告的数据范围,并解释了所提供数据范围与报告内容范围的不同之处。以下范围内排除的公司没有某些分节的可用数据。
| | | | | | | | | | | |
| (分)章年度报告 | | 范围 |
| 我们公司 | | |
| 我们如何创新 | | ASML全球 |
| 客户亲密度 | | ASML全球,不包括Cymer和Berliner Glas(ASML Berlin GmbH) 注:仅Techinsights ASML |
| 财务业绩 | | |
| 财务表现指标 | | ASML全球 |
| 能源效率和气候行动 | | |
| 能源管理和碳足迹(范围1和2) | | 250 FTE以上的ASML地点,不包括Berliner Glas(ASML Berlin GmbH) |
| 能源管理和碳足迹(范围3) | | 全球ASML:第8、9、10、13、14和15类除外 |
| 能源管理和碳足迹:客户的产品使用 | | ASML达到一定成熟阶段并经过测量的产品 |
| 循环经济 | | |
| 减少运营中的浪费 | | 250 FTE以上的ASML地点,不包括Berliner Glas(ASML Berlin GmbH) |
| 重复使用零件和材料 | | ASML全球物料流 注:重复使用率和重复使用部件节省的费用不包括包装 |
| 翻新成熟产品 | | ASML产品,不包括YieldStar和SBI/MBI计量工具。 |
| 水管理 | | 超过250 FTE的ASML地点,不包括Berliner Glas(ASML Berlin GmbH)—总超纯水消耗量和总回收和再利用水,仅限于Veldhoven(荷兰)、林口(台湾)和HMI台南(台湾)。 |
| 对所有人都有吸引力的工作场所 | | |
| | | |
| 激励统一的文化 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) |
| 最佳员工体验 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) 注:由内部候选人填补的空缺职位(%)的范围仅包括已设立正式空缺的空缺职位 |
| 加强领导 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务报表续 | 战略报告 | 治理 | 金融类股 | 271 |
| 关于非财务信息(续) | | | | |
| | | | | | | | | | | |
| 确保员工安全 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) |
| 我们社区的重要合作伙伴 | | |
| 社区参与计划 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) 注:培训时间技术推广和校园推广仅适用于ASML荷兰 社区参与的工作时间:不包括HMI |
| ASML基金会 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) |
| 创新生态系统 | | |
| 公私伙伴关系 | | ASML全球 |
| 与学术界和研究机构的伙伴关系 | | ASML全球 |
| 支持初创企业和扩大规模 | | ASML荷兰 |
| 我们的供应链 | | |
| 供应链 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) |
| 供应商绩效管理 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) |
| 供应链风险管理 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) |
| 负责任的供应链 | | ASML全球,不包括Cmer、HMI和柏林玻璃(ASML柏林GmbH) |
| | | |
| 负责任的企业 | | |
| 商业道德和行为准则 | | ASML全球,不包括柏林Glas(ASML柏林GmbH) |
| 产品安全 | | ASML全球,不包括HMI |
| 休息 | | ASML全球 |
| | | |
范围更改和重述
与2021年年报相比,范围发生了以下变化:
–对于社区参与,我们已经扩大了我们的报告范围,包括美国和亚洲,以及荷兰,关于捐款的价值。
–截至2022年,我们的范围3排放包括9个月的实际数据和3个月的估计数据。在2023年报告年度,我们将以2022年全年实际数据调整2022年报告数字。在过去的几年里,我们报告了范围3的排放数据,但有一年的滞后。
–我们还开始报告我们所有劳动力指标中没有性别的人口。
–最后,改变了2020/2021年美国有吸引力雇主排名的方法,因此基于新的细分修改了比较数据。
回顾一下这一点报告
本报告所列合并财务报表经审计。
更多内容请阅读:
合并财务报表--独立注册会计师事务所报告。
根据我们的管理委员会的要求,我们的非财务资料已独立审阅。我们的外聘核数师(KPMG)被要求审阅该非财务资料。
有关毕马威的保证报告,包括其所开展工作的详细信息,请参阅:
非财务报表—独立审计员的保证报告。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标 | 战略报告 | 治理 | 金融类股 | 272 |
| 非财务指标 | | | | |
非财务关键绩效指标(KPI)于ESG可持续发展报告的不同章节报告。 其他非财务业绩指标见下表。
| | | | | | | | | | | | | | | | | | | | |
客户亲密度 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
总体忠诚度评分(客户反馈调查) | 72.6 | % | 不适用 | | 78.3 | % | | 调查每24个月进行一次(上次调查于2022年9月进行)。 截至2022年,评分显示ASML、Brion和HMI调查的综合和加权结果。 |
技术洞察 | | | | | | |
芯片制造设备的大型供应商—评分(0—10) | 9.3 | | 9.2 | | | 9.4 | | | |
Fab设备供应商—评分(0至10分) | 9.3 | | 9.2 | | | 9.4 | | | |
光刻设备的技术领导力—得分(0—10) | 9.7 | | 9.5 | | | 9.8 | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 273 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
能源效率和气候行动--能源 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
能源消耗(单位:TJ) | 1,412 | 1,689 | | 1,633 | | | |
通过项目在全球范围内节约能源(以台湾省为单位) | 114 | 13 | | 19 | | | 2021年,我们启动了2021—2025年新的总体规划期,目标是在2025年底前实现100焦耳的节能。这些节余是通过改进技术装置的项目或改进生产工艺的项目实现的。节省的能源类型:燃料和电力。 2020年的数字与2016—2020年总体规划有关。所报告的节余是与基准年相比累积的,因此不具有可比性。 |
能源密度(每欧元收入) | 不适用 | 不适用 | | 0.08 | | 分母是收入,分子代表组织内的总能源消耗,由总用电量(TJ)和化石燃料(天然气消耗量)(TJ)组成。 |
组织外的能源消耗(以TJ为单位) | 不适用 | 不适用 | | 93,962 | | | |
每个地点购买的电力(以TJ为单位) | | | | | | |
Veldhoven | 802 | 881 | | 837 | | | |
威尔顿 | 114 | 120 | | 130 | | | |
林口 | 35 | 34 | | 34 | | | |
圣地亚哥 | 167 | 176 | | 188 | | | |
圣何塞 | — | 28 | | 25 | | | 自2021年以来,这一指标的适用范围有所扩大。 |
台南 | — | 36 | | 43 | | | 自2021年以来,这一指标的适用范围有所扩大。 |
其他 | — | 47 | | 50 | | | 自2021年以来,这一指标的适用范围有所扩大。其他包括超过250 FTE总和的地点。 |
总计 | 1,118 | 1,322 | | 1,307 | | | |
从不可再生来源消耗的化石燃料(以台湾省为单位)1 | | | | | | 所消费的化石燃料仅包括天然气。 |
Veldhoven | 141 | | 184 | | | 149 | | | |
威尔顿 | 112 | | 127 | | | 121 | | | |
林口 | — | | — | | | — | | 这个生产基地不使用天然气。 |
圣地亚哥 | 40 | | 43 | | | 43 | | | |
圣何塞 | — | 5 | | 6 | | | 自2021年以来,这一指标的适用范围有所扩大。 |
台南 | — | — | | — | | | 自2021年以来,这一指标的范围内。该生产地点不使用天然气。 |
其他 | — | 8 | | 7 | | | 自2021年以来,这一指标的适用范围有所扩大。其他包括超过250 FTE总和的地点。 |
总计 | 293 | 367 | | 326 | | | |
可再生能源消耗的燃料(以台湾省为单位) | — | | — | | | — | | | |
| | | | | | |
1.所使用的换算系数来源是荷兰排放管理局和美国能源信息管理局。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 274 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
能源效率和气候行动-CO2电子排放物 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
排放强度净范围1+2+3(单位:千吨/欧元米收入) | 0.63 | 0.62 | | 0.56 | | 已经重新计算了比较数字,以消除范围3排放数据的一年滞后。我2022年,我们努力更及时地收集排放数据,以便能够报告2022年,包括9个月的实际数据和3个月的估计数据。包括的气体只有CO2,因为其他气体可以忽略不计。 |
| | | | | | |
净排放足迹变化百分比(范围1+2)--基于市场 | (31) | % | 156 | % | | (3) | % | | |
范围2 CO2E排放量(以千吨为单位),基于位置 | 不适用 | 不适用 | | 193 | | |
购买的CO2(单位:克顿) | 0.9 | 0.9 | | 0.7 | | |
能源属性证书类型(TJ) | | | | | | |
原产地担保(GOS) | 802 | 883 | | 840 | | |
可再生能源证书(REC) | 281 | 331 | | 351 | | |
I-RECs | 35 | — | | 3 | | |
总计 | 1,118 | 1,214 | | 1,194 | | |
温室气体排放量(GHG)减少量(单位为kton): | | | | | | |
范围1 | 不适用 | 不适用 | | 0.16 | | |
范围2 | 不适用 | 不适用 | | 2.41 | | |
总计 | 不适用 | 不适用 | | 2.57 | | |
大量空气排放(VOC) | 不适用 | 不适用 | | 13,289 | | |
巨额罚款和非货币制裁的数量 | 1 | — | | — | | 2020年,由于没有环境许可证,HMI北京曾被罚款一次。 |
因不遵守环境法律法规而被处以的巨额罚款的货币价值(以千欧元为单位) | 70 | — | | — | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 275 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
循环经济-废物管理 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
产生的总废物(单位:1,000公斤)1 & 2 | | | | | | |
非危险废物总量 | 4,654 | 5,284 | | 6,295 | | |
危险废物总量 | 372 | 395 | | 380 | | |
建筑垃圾总量 | 231 | 199 | | 238 | | |
总计 | 5,257 | 5,878 | | 6,913 | | 全部垃圾在场外处理,不在现场进行垃圾处理。 |
废物处置总量(单位:1,000公斤)1 | | | | | | |
从处置中转移的废物 | 4,466 | 4,544 | | 5,186 | | |
直接弃置的废物 | 791 | 1,334 | | 1,727 | | |
总计 | 5,257 | 5,878 | | 6,913 | | |
弃置废物:循环再用(单位:1,000公斤)1 | | | | | | 我们采用废物回收。其他类别,如再利用和堆肥不适用于ASML。 |
非危险废物总量 | 3,911 | 4,028 | | 4,719 | | |
危险废物总量 | 349 | 346 | | 309 | | |
建筑垃圾总量 | 206 | 170 | | 158 | | |
总计 | 4,466 | 4,544 | | 5,186 | | |
处置的废物:焚烧(含能量回收)(单位:1,000公斤)1 | | | | | | |
非危险废物总量 | 411 | 938 | | 1,246 | | 二零二一年及二零二二年因供应商改变废物处理而有所增加。我们已与供应商及供应商合作,以提高日后的回收率。 |
危险废物总量 | 9 | 16 | | 37 | | |
建筑垃圾总量 | 20 | 17 | | 74 | | |
总计 | 440 | 971 | | 1,357 | | |
处置的废物:焚烧(不回收能源)(单位:1,000公斤)1 | | | | | | |
非危险废物总量 | 3 | 51 | | 66 | | |
危险废物总量 | 13 | 27 | | 24 | | |
建筑垃圾总量 | 0 | 0 | | 0 | | |
总计 | 16 | 78 | | 90 | | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 276 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
循环经济-废物管理 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
直接弃置的废物:堆填区(单位:1,000公斤)1 | | | | | | |
非危险废物总量 | 329 | 267 | | 264 | | |
危险废物总量 | 1 | 6 | | 10 | | |
建筑垃圾总量 | 5 | 12 | | 6 | | |
总计 | 335 | 285 | | 280 | | |
处置的废物总量(占营运废物总量的百分比)1 | | | | | | |
焚烧(带能量回收) | 8 | % | 17 | % | | 19 | % | | |
焚烧(无能量回收) | — | % | 1 | % | | 2 | % | | |
垃圾填埋场 | 7 | % | 5 | % | | 4 | % | | |
总计 | 15 | % | 23 | % | | 25 | % | | |
1.废物处置方法由废物处置承包商提供的资料决定。截至2021年,我们按照GRI的要求,将总废物分为指定处置的废物和转移处置的废物。2020年的比较数字经调整以披露
这个分裂。
2.在威尔顿的热电联产系统拆除过程中,乙二醇泄漏到土壤表面。由于这次泄漏,我们处理了12.7吨乙二醇受影响的土壤和3.6吨乙二醇受影响的水,以确保对环境的影响最小。这一土壤和水的清除量包括在我们2022年的废物数据中。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 277 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
循环经济--水管理 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
用水量(单位:1000米3),拆分方式: | | | | | | |
Veldhoven | 658 | 728 | | 834 | | |
圣地亚哥 | 80 | 105 | | 115 | | |
威尔顿 | 94 | 95 | | 90 | | |
林口 | 28 | 26 | | 22 | | |
圣何塞 | — | 21 | | 32 | | 自2021年以来,这一指标的适用范围有所扩大。 |
台南 | — | 30 | | 33 | | 自2021年以来,这一指标的适用范围有所扩大。 |
其他 | — | 36 | | 36 | | 自2021年以来,这一指标的适用范围有所扩大。其他包括超过250 FTE总和的地点。 |
总计 | 860 | 1,041 | | 1,162 | | 市政供水。 |
| | | | | | |
超纯水总消耗量(单位:1000米)3) | 127 | 84 | | 86 | | 只有Veldhoven、Linkou和HMI Tainan在该指标的范围内。其他地点不包括在范围内,因为尚未获得关于该指标的报告数据。 |
回收和再利用的水总量(%) | 1.8 | % | 1.2 | % | | 1.6 | % | | 只有Veldhoven、Linkou和HMI Tainan在该指标的范围内。其他地点不包括在范围内,因为尚未获得关于该指标的报告数据。 |
水强度(单位:1000米3/欧元百万欧元收入) | 62 | 56 | | 55 | | 水强度按总用水量计算,单位为m3)除以总收入(单位:百万)。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 278 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
对所有劳动力指标具有吸引力的工作场所1 | | | | | | | | | | | | | | | |
全职员工数量(工资和临时员工) | 总ASML | 亚洲 | 欧洲、中东和非洲地区 | 我们 |
| 2020 | 2021 | | 2022 | 2020 | 2021 | | 2022 | 2020 | 2021 | | 2022 | 2020 | 2021 | | 2022 |
薪资员工(全时当量) | 25,082 | 28,747 | | 34,719 | 6,027 | 7,404 | | 8,840 | 13,627 | 15,444 | | 18,660 | 5,428 | 5,899 | | 7,219 |
女性(单位:%) | 17 | 18 | | 19 | 17 | 17 | | 18 | 17 | 18 | | 20 | 17 | 17 | | 19 |
男性(单位:%) | 83 | 82 | | 81 | 83 | 83 | | 82 | 83 | 82 | | 80 | 83 | 83 | | 81 |
未知(以%为单位) | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — |
临时雇员(全时当量) | 1,399 | 2,095 | | 2,924 | 30 | 26 | | 31 | 1,087 | 1,786 | | 2,607 | 282 | 283 | | 286 |
女性(单位:%) | 16 | 18 | | 19 | 28 | 19 | | 23 | 19 | 20 | | 20 | 7 | 8 | | 2 |
男性(单位:%) | 84 | 82 | | 73 | 72 | 81 | | 71 | 81 | 80 | | 80 | 93 | 92 | | 18 |
未知(以%为单位) | 不适用 | 不适用 | | 8 | 不适用 | 不适用 | | 6 | 不适用 | 不适用 | | — | 不适用 | 不适用 | | 80 |
总计 | 26,481 | 30,842 | | 37,643 | 6,057 | 7,430 | | 8,871 | 14,714 | 17,230 | | 21,267 | 5,710 | 6,182 | | 7,505 |
全职员工总数(按年龄组划分) | | | | | | | | | | | | | | | | |
| 4,798 | 6,344 | | 8,837 | 1,518 | 2,191 | | 2,736 | 2,381 | 3,041 | | 4,449 | 899 | 1,112 | | 1,652 |
30-50 | 16,848 | 19,058 | | 22,736 | 4,300 | 4,933 | | 5,778 | 9,615 | 11,007 | | 13,170 | 2,933 | 3,118 | | 3,788 |
>50 | 4,556 | 5,158 | | 5,792 | 238 | 305 | | 355 | 2,718 | 3,182 | | 3,647 | 1,600 | 1,671 | | 1,790 |
未知 | 279 | 282 | | 278 | 1 | 1 | | 2 | — | — | | 1 | 278 | 281 | | 275 |
总计 | 26,481 | 30,842 | | 37,643 | 6,057 | 7,430 | | 8,871 | 14,714 | 17,230 | | 21,267 | 5,710 | 6,182 | | 7,505 |
全职员工总数(工资和临时员工) | | | | | | | | | | | | | | | | |
女性(单位:%) | 17 | 18 | | 19 | 不适用 | 不适用 | | 18 | 不适用 | 不适用 | | 20 | 不适用 | 不适用 | | 18 |
男性(单位:%) | 83 | 82 | | 80 | 不适用 | 不适用 | | 82 | 不适用 | 不适用 | | 80 | 不适用 | 不适用 | | 79 |
未知(以%为单位) | 不适用 | 不适用 | | 1 | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | 3 |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
对所有劳动力指标具有吸引力的工作场所1 | | | | | | | | | | | | | | | |
薪资全职员工人数(分为全职和兼职) | 总ASML | 亚洲 | 欧洲、中东和非洲地区 | 我们 |
| 2020 | 2021 | | 2022 | 2020 | 2021 | | 2022 | 2020 | 2021 | | 2022 | 2020 | 2021 | | 2022 |
全职员工 | | | | | | | | | | | | | | | | |
女性(单位:%) | 15 | 16 | | 18 | 17 | 17 | | 18 | 14 | 15 | | 17 | 17 | 17 | | 19 |
男性(单位:%) | 85 | 84 | | 82 | 83 | 83 | | 82 | 86 | 85 | | 83 | 83 | 83 | | 81 |
未知(以%为单位) | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — |
总计 | 23,317 | 26,847 | | 32,635 | 6,024 | 7,401 | | 8,835 | 11,878 | 13,560 | | 16,594 | 5,415 | 5,886 | | 7,206 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 279 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
薪资全职员工人数(分为全职和兼职) | 总ASML | 亚洲 | 欧洲、中东和非洲地区 | 我们 |
兼职薪金全职雇员 | | | | | | | | | | | | | | | | |
女性(单位:%) | 37 | 37 | | 38 | — | — | | 28 | 37 | 37 | | 38 | 46 | 27 | | 30 |
男性(单位:%) | 63 | 63 | | 62 | 100 | 100 | | 72 | 63 | 63 | | 62 | 54 | 73 | | 70 |
未知(以%为单位) | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — |
总计 | 1,765 | 1,900 | | 2,084 | 3 | 3 | | 5 | 1,749 | 1,884 | | 2,066 | 13 | 13 | | 13 |
1.没有非保证工时的员工。FTE在报告期结束时报告,不包括柏林Glas(ASML柏林GmbH)。
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
对所有劳动力指标具有吸引力的工作场所 | | | | | | | | | | | | | | | |
新招聘薪资员工数(全职员工数) | 总ASML | 亚洲 | 欧洲、中东和非洲地区 | 我们 |
| 2020 | | 2021 | | | 2022 | 2020 | | 2021 | | | 2022 | 2020 | | 2021 | | | 2022 | 2020 | | 2021 | | | 2022 |
新员工人数 | 1,932 | 4,373 | | 7,130 | 598 | 1,848 | | 2,057 | 879 | 1,737 | | 3,306 | 455 | 788 | | 1,767 |
新聘员工占员工总数的百分比 | 8 | 15 | | 21 | 10 | 25 | | 23 | 6 | 11 | | 18 | 8 | 13 | | 25 |
性别 | | | | | | | | | | | | | | | | |
女性 | 454 | 896 | | 1,724 | 123 | 313 | | 415 | 216 | 432 | | 903 | 115 | 151 | | 406 |
男性 | 1,478 | 3,477 | | 5,400 | 475 | 1,535 | | 1,641 | 663 | 1,305 | | 2,402 | 340 | 637 | | 1,357 |
未知 | 不适用 | 不适用 | | 6 | 不适用 | 不适用 | | 1 | 不适用 | 不适用 | | 1 | 不适用 | 不适用 | | 4 |
总计 | 1,932 | 4,373 | | 7,130 | 598 | 1,848 | | 2,057 | 879 | 1,737 | | 3,306 | 455 | 788 | | 1,767 |
年龄组 | | | | | | | | | | | | | | | | |
| 854 | 2,392 | | 3,581 | 338 | 1,213 | | 1,321 | 329 | 783 | | 1,457 | 187 | 396 | | 803 |
30-50 | 947 | 1,789 | | 3,241 | 253 | 627 | | 730 | 491 | 848 | | 1,708 | 203 | 314 | | 803 |
>50 | 131 | 190 | | 308 | 7 | 6 | | 6 | 59 | 106 | | 141 | 65 | 78 | | 161 |
未知 | — | | 2 | | | — | — | | 2 | | | — | — | | — | | | — | — | | — | | | — |
总计 | 1,932 | | 4,373 | | | 7,130 | 598 | | 1,848 | | | 2,057 | 879 | | 1,737 | | | 3,306 | 455 | | 788 | | | 1,767 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 280 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
对所有劳动力指标具有吸引力的工作场所 | | | | | | | | | | | | | | | |
员工流失率(全时当量) | 总ASML | 亚洲 | 欧洲、中东和非洲地区 | 我们 |
| 2020 | | 2021 | | | 2022 | 2020 | | 2021 | | | 2022 | 2020 | | 2021 | | | 2022 | 2020 | | 2021 | | | 2022 |
非自愿员工流失量 | 186 | 199 | | 226 | 38 | 41 | | 34 | 102 | 101 | | 119 | 46 | 57 | | 73 |
自愿减员人数 | 723 | 1,234 | | 1,678 | 201 | 421 | | 530 | 239 | 341 | | 503 | 283 | 472 | | 645 |
总计 | 909 | | 1,433 | | | 1,904 | 239 | | 462 | | | 564 | 341 | | 442 | | | 622 | 329 | | 529 | | | 718 |
性别 | | | | | | | | | | | | | | | | |
女性 | 189 | 258 | | 372 | 56 | 78 | | 107 | 69 | 89 | | 129 | 64 | 91 | | 136 |
男性 | 720 | 1,175 | | 1,532 | 183 | 384 | | 457 | 272 | 353 | | 493 | 265 | 438 | | 582 |
未知 | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — | 不适用 | 不适用 | | — |
总计 | 909 | | 1,433 | | | 1,904 | 239 | | 462 | | | 564 | 341 | | 442 | | | 622 | 329 | | 529 | | | 718 |
年龄组 | | | | | | | | | | | | | | | | |
| 218 | 337 | | 516 | 73 | 143 | | 220 | 67 | 69 | | 121 | 78 | 125 | | 175 |
30-50 | 479 | 806 | | 1,063 | 149 | 292 | | 326 | 179 | 257 | | 383 | 151 | 257 | | 354 |
>50 | 212 | 290 | | 325 | 17 | 27 | | 18 | 95 | 116 | | 118 | 100 | 147 | | 189 |
总计 | 909 | | 1,433 | | | 1,904 | 239 | | 462 | | | 564 | 341 | | 442 | | | 622 | 329 | | 529 | | | 718 |
| | | | | | | | | | | | | | | | | | | | |
对所有劳动力指标具有吸引力的工作场所 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
非雇员的工人(全职)1 | 不适用 | 不适用 | | 1,682 | | |
1.这类人员包括根据所需专门知识的具体领域受聘执行特定时限任务的顾问、参加反洗钱工作/学习方案的学生以及在反洗钱法实习的学生。全时当量在报告所述期间结束时报告。
| | | | | | | | | | | | | | | | | | | | |
吸引所有人的工作场所-员工参与度 | | | | | | |
按性别分列的We@ASML参与度得分 | 2020 | 2021 | | 2022 | | 评论 |
女性 | 80 | % | 78 | % | | 77 | % | | |
男性 | 80 | % | 78 | % | | 78 | % | | |
基准 | 73 | % | 76 | % | | 74 | % | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 281 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
吸引所有人的工作场所-员工参与度 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
员工出勤率(%) | 3.8 | | 5.4 | | 6.0 | | |
由内部候选人填补的空缺职位(%) | 30 | | 29 | | 27 | | |
| | | | | | | | | | | | | | | | | | | | |
吸引所有人的工作场所-员工参与度 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
培训费用总额(百万欧元) | 12 | | 27 | | 47 | | MyLearning(学习管理系统)中记录的技术和非产品相关课堂培训的自付费用。 |
每个全职员工的培训和发展平均支出(欧元) | 494 | | 1,020 | | | 1,491 | | | |
每名全职员工的培训总时数 | | | | | | 包括技术和非产品相关培训时数(包括提名课程)。 |
女性 | 26 | | 25 | | | 41 | | |
男性 | 29 | | 30 | | | 52 | | |
未知 | 不适用 | 不适用 | | 304 | | |
加权平均 | 28 | | 29 | | | 50 | | |
每个技术FTE的技术培训小时数 | | | | | | 每FTE的技术培训时数的计算方法是,总的技术培训时数除以在运营和研发部门的技术部门工作的FTE的工资总额。 |
女性 | 22 | 22 | | 41 | | |
男性 | 27 | 29 | | 50 | | |
未知 | 不适用 | 不适用 | | 347 | | |
加权平均 | 26 | | 28 | | | 49 | | |
每个全职员工的非产品相关培训小时数 | | | | | | 不包括提名课程(领导力发展课程)。 |
女性 | 7 | 8 | | 11 | | |
男性 | 4 | 5 | | 8 | | |
未知 | 不适用 | 不适用 | | 27 | | |
加权平均 | 5 | | 5 | | | 8 | | |
提名课程:领导力发展课程 | | | | | | |
培训时数 | 22,896 | | 6,264 | | | 47,454 | | | 由于新冠肺炎的原因,2021年只有两个ECAP项目启动。 |
参加会议的雇员人数(唯一) | 216 | | 48 | | | 322 | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 282 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
为所有人提供有吸引力的工作场所—多样性和包容性 | | | | | | | | | | | | | | | | | |
描述 | 性别 | | 性别比例 | | | 年龄组 | 评论 |
管理职位和监事会成员中的男性/女性(按人数计算)1 | 女性 | 男性 | 未知 | | 总计 | | 女性 | 男性 | 未知 | | | 30 - 50 | >50 | 未知 | | 总计 | |
监事会 | 4 | | 5 | | — | | | 9 | | | 44 | % | 56 | % | — | % | | — | — | 9 | | — | | | 9 | | |
管理委员会 | — | 5 | | — | | | 5 | | | — | % | 100 | % | — | % | | — | 1 | | 4 | | — | | | 5 | | |
高级管理层 | 78 | | 623 | | — | | | 701 | | | 11 | % | 89 | % | — | % | | — | 311 | | 390 | | — | | | 701 | | |
中层管理 | 469 | | 2,869 | | 1 | | | 3,339 | | | 14 | % | 86 | % | — | % | | 1 | | 1,994 | | 1,344 | | — | | | 3,339 | | |
初级管理层 | 312 | | 1,502 | | — | | | 1,814 | | | 17 | % | 83 | % | — | % | | 64 | | 1,480 | | 270 | | — | | | 1,814 | | |
其他 | 5,962 | | 23,369 | | 4 | | | 29,335 | | | 20 | % | 80 | % | — | % | | 7,714 | | 18,001 | | 3,620 | | — | | | 29,335 | | |
总计 | 6,825 | | 28,373 | | 5 | | | 35,203 | | | 19 | % | 81 | % | — | % | | 7,779 | | 21,787 | | 5,637 | | — | | | 35,203 | | |
| | | | | | | | | | | | | | | | | |
| 性别 | | 性别比例 | | | | | | | | | |
按行业划分的男性/女性(全时当量) | 女性 | 男性 | 未知 | | 总计 | | 女性 | 男性 | 未知 | | | | | | | | |
客户支持 | 1,055 | | 7,741 | | 8 | | | 8,804 | | | 12 | % | 88 | % | — | % | | | | | | | | |
制造业与供应链管理 | 1,732 | | 7,142 | | 91 | | | 8,965 | | | 19 | % | 80 | % | 1 | % | | | | | | | | |
研究与开发 | 2,203 | | 11,598 | | 121 | | | 13,922 | | | 16 | % | 83 | % | 1 | % | | | | | | | | |
一般和行政 | 1,520 | | 2,217 | | 7 | | | 3,744 | | | 41 | % | 60 | % | — | % | | | | | | | | |
销售和成熟产品服务 | 116 | | 552 | | — | | | 668 | | | 17 | % | 83 | % | — | % | | | | | | | | |
战略供应管理 | 545 | | 983 | | 12 | | | 1,540 | | | 35 | % | 64 | % | 1 | % | | | | | | | | |
总计 | 7,171 | | 30,233 | | 239 | | | 37,643 | | | 19 | % | 80 | % | 1 | % | | | | | | | | |
1.临时员工不包括在人数数字中。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 283 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
为所有人提供有吸引力的工作场所—多样性和包容性 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
为ASML工作的国籍数量 | | | | | | |
亚洲 | 35 | 33 | | 40 | | | |
欧洲、中东和非洲地区 | 103 | 108 | | 124 | | | |
我们 | 86 | 90 | | 101 | | | |
全球合计 | 120 | 122 | | 143 | | | |
为ASML工作的外籍人士(单位:%) | | | | | | 在ASML工作的外国人(%)是指雇员工作国家以外的国籍的工资和临时雇员的百分比。 |
亚洲 | 6 | 5 | | 5 | | | |
欧洲、中东和非洲地区 | 32 | 33 | | 38 | | | |
我们 | 27 | 28 | | 25 | | | |
全球合计 | 25 | 26 | | 28 | | | |
| | | | | | | | | | | | | | | | | | | | |
对所有人都有吸引力的工作场所-劳资关系 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
集体谈判协议涵盖的雇员百分比 | 53 | % | 52 | % | | 53 | % | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 284 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
所有人都有吸引力的工作场所-公平的薪酬2 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
男女基本工资之比合计1 | | | | | | |
高级管理层 | 99 | % | 99 | % | | 100 | % | | |
中层管理 | 98 | % | 99 | % | | 99 | % | | |
非管理性 | 98 | % | 98 | % | | 98 | % | | |
男女基薪比率1 | | | | | | |
高级管理层 | 不适用 | 不适用 | | 102 | % | | |
中层管理 | 不适用 | 不适用 | | 98 | % | | |
非管理性 | 不适用 | 不适用 | | 95 | % | | |
男女基薪比率1 | | | | | | |
高级管理层 | 不适用 | 不适用 | | 99 | % | | |
中层管理 | 不适用 | 不适用 | | 98 | % | | |
非管理性 | 不适用 | 不适用 | | 98 | % | | |
男女基本工资比率1 | | | | | | |
高级管理层 | 不适用 | 不适用 | | 100 | % | | |
中层管理 | 不适用 | 不适用 | | 100 | % | | |
非管理性 | 不适用 | 不适用 | | 100 | % | | |
女性现金总额与男性现金总额比率1 | | | | | | |
高级管理层 | 99 | % | 99 | % | | 102 | % | | |
中层管理 | 98 | % | 99 | % | | 98 | % | | |
非管理性 | 97 | % | 98 | % | | 97 | % | | |
亚洲女性与男性现金总额之比1 | | | | | | |
高级管理层 | 不适用 | 不适用 | | 110 | % | | |
中层管理 | 不适用 | 不适用 | | 92 | % | | |
非管理性 | 不适用 | 不适用 | | 96 | % | | |
欧洲、中东和非洲地区女性与男性现金总额比率1 | | | | | | |
高级管理层 | 不适用 | 不适用 | | 101 | % | | |
中层管理 | 不适用 | 不适用 | | 98 | % | | |
非管理性 | 不适用 | 不适用 | | 98 | % | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 285 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
所有人都有吸引力的工作场所-公平的薪酬2 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
美国女性与男性现金总额之比1 | | | | | | |
高级管理层 | 不适用 | 不适用 | | 96 | % | | |
中层管理 | 不适用 | 不适用 | | 100 | % | | |
非管理性 | 不适用 | 不适用 | | 100 | % | | |
内部薪酬比率(CEO与员工薪酬)3 | 38 | 40 | | 34 | | 有关详情,请参阅:薪酬报表 |
1.本报告年度用于计算的基薪和现金总额由上一个报告年度实际支付的基薪和现金总额组成。现金总额是基本工资加上短期激励。
2.从2022年起,我们披露按地区划分的每个员工组的公平薪酬。
3.内部薪酬比率的计算方法在CEO与平均薪酬(薪酬比率)的关系一节中披露。我们根据2020年12月的指引修订了内部薪酬比率的计算方法。
监督委员会《荷兰公司治理准则》第3.4.1.iv节中的《荷兰公司治理准则》,于2021年生效。因此,内部薪酬比率的比较历史数字已被重述,以包括社会福利
安全费用在内部薪酬比率数字中。在计算中,我们只考虑了薪资雇员,因为这确保了与综合财务报表中披露的数字一致。如果我们将临时工纳入其中,这一比例会更低,因为他们的平均薪酬更高。
| | | | | | | | | | | | | | |
对所有人都有吸引力的工作场所--这是本组织全职和兼职员工的标准福利,但不提供给临时员工1 | |
员工福利类型: | 员工类型 | |
| 全职员工 | 兼职员工 | 临时工 | 2 |
一、人寿保险3 | 是 | 是 | 不是 | |
二、医疗保健3 | 是 | 是 | 不是 | |
三、伤残和伤残保险3 | 是 | 是 | 不是 | |
四、育儿假3 | 是 | 是 | 不是 | |
V.退休保障 | 是 | 是 | 不是 | |
六、股权 | 是 | 是 | 不是 | |
1.此表包括主要业务地点:台湾、荷兰、中国、韩国和美国。台湾没有兼职员工。
2.一般情况下,临时雇员不享有与全职和非全职雇员相同的福利,因为他们的福利由其正式雇主的福利计划涵盖。
3.在美国,兼职员工无权享受人寿保险、医疗保健、残疾和伤残保险以及育儿假福利。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 286 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
为所有人提供有吸引力的工作场所—员工安全 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
可记录事故率1 | 0.18 | 0.17 | | 0.18 | | 包括生病和受伤。 |
可记录的事件数量(员工) | 46 | 48 | | 63 | | |
可记录的事件数量(承包商) | 不适用 | 不适用 | | 9 | | |
死亡人数 | — | — | | — | | 这涉及雇员和非雇员的工人。 |
发生工伤的雇员按以下各项划分: | | | | | | |
| | | | | | |
死亡率 | 不适用 | 不适用 | | — | | | |
可记录的受伤人数 | 不适用 | 不适用 | | 48 | | | |
可记录伤害率 | 不适用 | 不适用 | | 0.14 | | |
严重伤害人数 | 不适用 | 不适用 | | 2 | | | |
严重伤害率 | 不适用 | 不适用 | | 0.01 | | | |
按雇员划分的主要工伤类别(按危险组别划分) | | | | | | |
电气 | 不适用 | 不适用 | | 1 | | | |
人体工程学 | 不适用 | 不适用 | | 17 | | | |
设施 | 不适用 | 不适用 | | 88 | | | |
| | | | | | |
危险物质和材料 | 不适用 | 不适用 | | 9 | | | |
吊装与起重 | 不适用 | 不适用 | | 10 | | | |
机械式 | 不适用 | 不适用 | | 147 | | | |
压力系统 | 不适用 | 不适用 | | 1 | | | |
| | | | | | |
热能 | 不适用 | 不适用 | | 2 | | | |
旅行 | 不适用 | 不适用 | | 10 | | | |
#工作时数 | 不适用 | 不适用 | | 68,746,820 | | | |
不是工伤雇员的工人按以下比例划分: | | | | | | |
| | | | | | |
| | | | | | |
可记录的受伤人数 | 不适用 | 不适用 | | 8 | | | |
| | | | | | |
严重伤害人数 | 不适用 | 不适用 | | — | | |
| | | | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 287 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
为所有人提供有吸引力的工作场所—员工安全 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
非雇员工人的主要工伤类型(按危险类别分列) | | | | | | |
电气 | 不适用 | 不适用 | | 1 | | | |
人体工程学 | 不适用 | 不适用 | | 3 | | | |
设施 | 不适用 | 不适用 | | 18 | | | |
| | | | | | |
危险物质和材料 | 不适用 | 不适用 | | 1 | | | |
吊装与起重 | 不适用 | 不适用 | | 5 | | | |
机械式 | 不适用 | 不适用 | | 29 | | | |
压力系统 | 不适用 | 不适用 | | 2 | | | |
| | | | | | |
| | | | | | |
旅行 | 不适用 | 不适用 | | 1 | | | |
| | | | | | |
患有与工作有关的疾病的员工按以下比例划分: | | | | | | |
| | | | | | |
可记录的健康不良人数 | 不适用 | 不适用 | | 15 | | | |
按雇员划分的与工作有关的主要健康问题类别(按危险组别划分) | 不适用 | 不适用 | | — | | | |
| | | | | | |
人体工程学 | 不适用 | 不适用 | | 22 | | | |
设施 | 不适用 | 不适用 | | 4 | | | |
危险气体 | 不适用 | 不适用 | | — | | | |
危险物质和材料 | 不适用 | 不适用 | | 4 | | | |
吊装与起重 | 不适用 | 不适用 | | 2 | | | |
机械式 | 不适用 | 不适用 | | 1 | | | |
压力系统 | 不适用 | 不适用 | | 1 | | | |
| | | | | | |
| | | | | | |
| | | | | | |
非患有与工作相关疾病的员工的员工按以下比例划分: | | | | | | |
| | | | | | |
可记录的健康不良人数 | 不适用 | 不适用 | | 1 | | | |
非雇员工人与工作有关的健康不良的主要类型(按危险类别分列) | | | | | | |
| | | | | | |
人体工程学 | 不适用 | 不适用 | | 2 | | | |
| | | | | | |
危险气体 | 不适用 | 不适用 | | 1 | | | |
| | | | | | |
| | | | | | |
机械式 | 不适用 | 不适用 | | 1 | | | |
| | | | | | |
| | | | | | |
| | | | | | |
| | | | | | |
1.二零二零年及二零二一年可记录事故率包括与非雇员工人有关的可记录事故。自2022年起,根据GRI 403标准,我们将与雇员及非雇员工人有关的事故分开,因此2022年可记录事故率仅包括与雇员有关的可记录事故。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 288 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
我们的供应链—负责任的供应链 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
供应商对可持续性进行了评估(#年),按如下方式分列: | | | | | | |
审计 | — | — | | 2 | | 于二零二零年及二零二一年,审核因COVID—19限制而暂停。 |
RBA自我评估问卷(SAQ) | 59 | 56 | | 59 | | |
| | | | | | | | | | | | | | | | | | | | |
我们的供应链—供应链 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
供应商总数 | 4,749 | 4,657 | | 4,984 | | |
每个地区的供应商数量: | | | | | | |
亚洲 | 1,313 | 1,319 | | 1,348 | | |
欧洲、中东和非洲(不包括荷兰) | 684 | 702 | | 745 | | |
荷兰 | 1,477 | 1,459 | | 1,584 | | |
北美 | 1,275 | 1,177 | | 1,307 | | |
总计 | 4,749 | 4,657 | | 4,984 | | |
供应商数量,按以下部分划分: | | | | | | |
与产品相关 | 779 | 772 | | 789 | | |
与产品无关 | 3,970 | 3,885 | | 4,195 | | |
总计 | 4,749 | 4,657 | | 4,984 | | 大多数是一级供应商。 |
供应商数量,按以下部分划分: | | | | | | |
批判性 | 222 | 229 | | 245 | | 关键供应商是具有战略重要性的第1级供应商。 |
非关键 | 4,527 | 4,428 | | 4,739 | | |
总计 | 4,749 | 4,657 | | 4,984 | | |
关键供应商的数量,按以下部分划分: | | | | | | |
产品相关 | 188 | 197 | | 216 | | |
与产品无关 | 34 | 32 | | 29 | | |
总计 | 222 | 229 | | 245 | | |
风险管理范围内的供应商数量 | 235 | 243 | | 264 | | 这包括19个关键的第2级供应商。 |
采购总支出(百万欧元) | 7,645 | 9,045 | | 12,402 | | |
每个供应商组的采购支出(单位:%) | | | | | | |
与产品相关 | 68 | % | 70 | % | | 69 | % | | |
与产品无关 | 32 | % | 30 | % | | 31 | % | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 非财务指标续 | 战略报告 | 治理 | 金融类股 | 289 |
| 非财务指标(续) | | | | |
| | | | | | | | | | | | | | | | | | | | |
我们的供应链—供应链 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
本地供应商的支出比例(以%为单位) | | | | | | 我们将“本地”定义为重要运营地点所在的国家。主要营运地点为ASML的主要生产基地,分别位于荷兰Veldhoven、台湾林口、美国圣地亚哥及威尔顿。台南的制造地点对该指标来说并不重要。 |
Veldhoven | 47 | % | 45 | % | | 45 | % | | 供应商对Veldhoven的总支出中有较大一笔与Carl Zeiss(非本地)有关。 |
林口 | 48 | % | 50 | % | | 53 | % | | |
圣地亚哥 | 94 | % | 92 | % | | 92 | % | | |
威尔顿 | 71 | % | 64 | % | | 71 | % | | |
| | | | | | | | | | | | | | | | | | | | |
治理--商业伦理 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
直言不讳的留言总数,除以: | 229 | 396 | | 414 | | |
反腐和行贿畅所欲言 | 19 | 37 | | 31 | | 没有一条直言不讳的信息表明有任何违反反腐败法的行为。 |
人权 | 69 | 187 | | 165 | | |
--其中歧视和骚扰 | 不适用 | 不适用 | | 106 | | |
| | | | | | | | | | | | | | | | | | | | |
治理--产品安全 | | | | | | |
描述 | 2020 | 2021 | | 2022 | | 评论 |
因不遵守产品设计相关法律法规而被处以的(重大)罚款数量 | — | | — | | | — | | | |
因不遵守产品设计相关法律法规而被处以巨额罚款的金额 | — | | — | | | — | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | | 战略报告 | 治理 | 金融类股 | 290 |
| | | | | | | | | | | | | | |
| | | | |
| | 其他附录 | |
| | | | |
| | 在本节中 | |
| | | | |
| | 290 | 其他附录 | |
| | 309 | 定义 | |
| | 317 | 展品索引 | |
| | | | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录 | 战略报告 | 治理 | 金融类股 | 291 |
| 附录-首席会计师费用和服务 | | | | |
毕马威会计师事务所于截至二零二二年及二零二一年十二月三十一日止年度担任我们的独立注册会计师事务所。下表载列毕马威及其成员公司及联属公司于二零二二年及二零二一年提供的专业审计服务及其他服务的总费用:
| | | | | | | | | | | | | | | | | | | | | | | |
截至十二月三十一日止的年度 | 2021 | | 2022 |
(欧元,千) | 毕马威会计师事务所 | 毕马威网络 | 总计 | | 毕马威会计师事务所 | 毕马威网络 | 总计 |
审计费 | 2,449 | | 1,047 | | 3,496 | | | 3,203 | | 1,064 | | 4,267 | |
审计相关费用 | 90 | | — | | 90 | | | 150 | | — | | 150 | |
税费 | — | | — | | — | | | — | | — | | — | |
所有其他费用 | 27 | | — | | 27 | | | 47 | | 9 | | 56 | |
总会计师费用 | 2,566 | | 1,047 | | 3,613 | | | 3,400 | | 1,073 | | 4,473 | |
审计费和与审计有关的费用
我们的独立注册会计师事务所是毕马威会计师事务所(毕马威)荷兰阿姆斯特尔文,审计师事务所ID:1012.审计费用与审计本年报所载的财务报表、若干季度程序、与要约备忘录有关的服务,以及附属公司的法定和监管备案有关。该等费用与审计相关财务报表有关,不论有关工作是否于财政年度内进行。其他与保险相关的费用与非财务资料的保证服务有关。
所有其他费用与监事会或外部当事方要求的某些商定程序有关。
独立核数师所提供之所有审核费用、审核相关费用及准许服务均须经审核委员会事先批准。审核委员会已预先批准100%的2022年及2021年的外部审核计划及审核费用。
审计委员会监测荷兰、欧盟法规和SEC关于独立注册公共会计师事务所提供非审计服务的规则的遵守情况,这些规则概述了荷兰公共利益实体的审计和咨询服务的严格分离。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 292 |
| 附录--财产、厂房和设备 | | | | |
我们以经营租赁的形式出租了许多设施。我们还拥有多座建筑,主要包括位于荷兰维尔德霍温、康涅狄格州威尔顿和加利福尼亚州圣地亚哥的生产设施,以及位于台湾和韩国平泽的林口和台南的生产设施。截至2022年12月31日,拥有的土地和建筑的账面价值为22.234亿欧元,而截至2021年12月31日的账面价值为18.56亿欧元。见合并财务报表--合并财务报表附注--附注13财产、厂房和设备,净额。
我们2022年、2021年和2020年的资本支出(不动产、厂房和设备的购置,见合并财务报表中记录的合并现金流量表)o 12.818亿欧元,分别为9.07亿欧元和9.62亿欧元。与2021年相比,2022年的资本支出有所增加,涉及设施、原型、评价和培训系统的扩建和升级。
我们预计2023年我们的资本支出(购买物业、厂房和设备)将大约为在…伊利24亿欧元。牛膝E预计支出将主要用于设施的进一步扩建和升级。我们预计将通过运营产生的现金以及现有的现金和现金等价物为这些资本支出提供资金。
欧洲、中东和非洲地区的设施
我们的总部,主要是制造和研发设施,位于荷兰的维尔德霍温。这个最先进的园区包括20.4万平方米的办公空间,5.9万平方米用于制造和研发活动的洁净室,1.2万平方米的实验室,6.3万平方米的仓库/存储空间。我们在荷兰维尔德霍温的主要设施(以及大埃因霍温地区的其他建筑)是部分拥有和部分租赁的办公和工业建筑。从2021年开始,我们在柏林增加了一个制造基地。我们的柏林校区由10栋建筑组成,主要是自有物业,总建筑面积为5.3万平方米。我们还在欧洲各地租赁了几个销售和服务/外地办事处,总面积为4000平方米。
在美国的设施
我们的美国总部位于亚利桑那州钱德勒一栋3000平方米的办公楼内。我们在康涅狄格州威尔顿由5栋建筑组成的57000平方米的园区内维持着研发和制造业务。2022年12月,我们在威尔顿额外购买了一栋31000平方米的建筑,用作办公和实验室空间。我们位于加利福尼亚州圣何塞的园区由两栋建筑组成,总面积为1.8万平方米,主要用于办公和研发活动。此外,我们位于加利福尼亚州圣地亚哥的园区占地45000平方米,用于办公、研发、制造和仓库用途。我们还在美国各地租赁了几个销售和服务/外地办事处,总面积为1.9万平方米。
在亚洲的设施
我们在亚洲的主要地点是台湾、韩国和中国,在那里我们拥有当地的服务、销售、培训中心和制造活动。我们在台湾林口的工厂由大约3000平方米的制造面积和大约6000平方米的办公空间组成。我们在台湾台南的工厂占地2万平方米,用于制造和办公。我们在韩国华城的园区占地11000平方米,分布在6栋建筑中,主要用于办公用途,以及一小部分洁净室和实验室空间。我们在韩国平泽的Cmer工厂是一个主要用于光源翻新活动的制造基地。在北京,中国,我们有一个人机界面设施和一个当地的维修中心,总建筑面积为4000平方米,用于制造和办公空间。我们还在台湾、韩国、中国、日本、新加坡和马来西亚租赁了几个销售和服务/外地办事处,总面积为49,000平方米。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 293 |
| 附录--荷兰税收 | | | | |
以下陈述是荷兰现行税收法律、法规及其司法解释的摘要。本说明仅限于就荷兰税务目的而言不是及/或不被视为荷兰居民的普通股持有人(“非居民持有人”)的重大税务影响。本摘要并不涉及可能适用于特殊类别普通股持有人的特别规则,亦不应被理解为含蓄地延伸至本文中未特别提及的事项。此外,本摘要没有讨论对从普通股获得收入或获得资本利得的非居民个人的荷兰税收待遇,而收到的收入或获得的资本利得可归因于该持有人过去、现在或未来的就业活动。至于个人税务后果,我们普通股的每一位投资者都应该咨询他或她的税务顾问。
一般信息
就荷兰税收而言,非荷兰居民收购普通股本身不应被视为应税事件。与拥有和处置我们的普通股相关的重大税收后果如下所述。
相当大的利益
任何人(除其他外)直接或间接并独立或与其合作伙伴(定义见2001年荷兰个人所得税法),拥有我们5.0%或以上的股本,拥有至少相当于荷兰公司年度利润的5.0%或该公司清算收益的至少5.0%的利润分享权,或持有购买我们股本5.0%或以上的选择权,被视为在我们的股份或我们的期权中拥有重大权益(视情况而定)。在非居民持有人的某些家庭成员持有重大权益的情况下,适用特定规则。在未确认应税收益的交易中,如果(部分)重大权益已被处置或被视为已处置,则也存在被视为重大权益。在确定是否存在实质性利益方面存在特定的归属规则。
个人非居民持有人在拥有和处置资产时的所得税后果
普通股
我们的普通股或通过出售、交换或以其他方式处置我们的普通股而获得的资本收益,条件是该持有人:
–未通过普通股归属的(被视为)常设机构或常驻代表在荷兰经营业务;
–没有也没有持有(被视为)我们股本中的重大权益,或者,如果非居民持有人持有或曾经持有(被视为)我们股本中的重大权益,则该权益是,或曾经是,
持有人手中的商业资产;
–没有也没有直接分享(通过普通股或类似证券的实益所有权)在荷兰管理和控制的企业(被视为)拥有的利润(S),
或被视为拥有我们的普通股;及
–并无进行任何在荷兰产生应课税利润或在荷兰产生与持有我们普通股有关的应课税收入的活动。
企业所得税对企业非居民持有人的影响
非居民公司股东出售、交换或处置普通股所得的普通股所得或出售、交换或处置普通股所得的资本利得,在下列情况下应纳税:
–持有人通过在荷兰的常设机构或常驻代表(荷兰企业)在荷兰经营业务,普通股归属于该常设机构或常驻代表,除非适用参与豁免(以下讨论);或
–持有人对我们的股本拥有重大权益,而持有股本的主要目的或其中一个主要目的是避免在另一人的水平上征收所得税,而该股本并不是以反映经济现实的正当商业理由而实施的;或
–持股人是阿鲁巴、库拉索岛或圣马丁的居民,在博内尔、尤斯特里乌斯或萨巴设有常设机构或常驻代表,我们的普通股属于该机构,且符合某些条件;或
–根据荷兰税法,持有者的某些资产被视为荷兰企业,普通股归该荷兰企业所有。
要获得荷兰参与豁免的资格,持有者通常必须至少持有我们名义实收资本的5.0%,并满足某些其他要求。
股息预提税金
一般来说,我们就普通股分配的股息将被荷兰按15.0%的法定税率征收预扣税。
红利包括:
–现金股利和实物股利;
–被视为和建设性的股息;
–回购或赎回超过合格平均实收资本的普通股的对价(包括由ASML的直接或间接子公司购买),除非此类回购是出于临时投资目的或法律豁免;
–不超过面值的股票股息(除非从符合条件的实收资本中分配);
–任何(部分)偿还不符合荷兰股息预扣税要求的实收资本;以及
–清算收益超过荷兰股息预扣税的合格平均实收资本。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 294 |
| 附录—荷兰税收(续) | | | | |
在某些情况下,可以获得荷兰股息预扣税的减免:
–如果参与豁免适用,且普通股归属于在荷兰开展的业务,则可获得来源豁免;
–向若干符合资格的欧盟/欧洲经济区居民企业持有人分派股息可获得来源豁免,除非该持有人持有我们的普通股的主要目的或主要目的之一是避免在另一人层面征收荷兰股息预扣税,且我们的普通股并非出于反映经济现实的有效商业原因而持有;
–向某些符合条件的企业持有人分配股息,这些企业持有人是非欧盟/欧洲经济区司法管辖区的居民,荷兰已与该司法管辖区缔结了包括股息条款的税务条约,除非该持有人持有我们的普通股的主要目的或主要目的之一是避免在另一个人的层面征收荷兰股息预扣税,且我们的普通股不由于反映经济现实的正当商业理由而持有;
–居住在欧盟/欧洲经济区成员国或符合条件的非欧盟/欧洲经济区国家的某些免税组织(例如养老基金和不包括集体投资工具)可能有资格应其要求获得荷兰股息预扣税的退款。根据尚未生效的国内法,在这些情况下,也可应请求提供来源豁免;以及
–应要求并在某些条件下,居住在欧盟/欧洲经济区成员国或符合条件的非欧盟/欧洲经济区成员国的某些符合条件的非居民个人和公司普通股持有人可能有资格获得荷兰股息预扣税,前提是所征收的预扣税高于如果他们是荷兰居民应缴纳的个人和公司所得税。
此外,非居民普通股持有人可根据荷兰与非居民持有人居住国之间有效的税务协定,部分或全部免除或退还上述全部或部分预扣税。荷兰已与美国、加拿大、瑞士、日本、大多数欧盟成员国以及许多其他国家缔结了此类条约。根据美国和荷兰关于避免双重征税和防止财政逃税的条约(“美国税务条约”),我们向美国税务条约所界定的美国居民的非居民持有人支付的股息(以下讨论的豁免组织或豁免养老金信托除外)一般须缴纳15.0%的荷兰预扣税,或如果某些美国公司股东直接拥有我们至少10.0%的投票权,则减少至5.0%,前提是持有人是所收到股息的受益所有人,并且没有企业或在企业中的权益,全部或部分通过股息归属于荷兰的常设机构或常驻代表进行。美国税务条约亦规定股息预扣税豁免,惟仅适用于直接拥有本公司至少80. 0%投票权并符合所有其他要求的股东。《美国税务条约》规定,豁免退休金信托基金和豁免组织所收取的股息完全免税,如其中所定义。除免税组织的情况外,在支付股息时,可以在源头处适用降低的股息预扣税税率(或预扣税豁免),前提是适当的表格具有:
在付款前提交。原则上,豁免组织仍须遵守15.0%的法定预扣率,并须申请退还预扣,但当上述国内法生效时,此类组织可能有资格从源头获得豁免。
非居民持有人不得要求美国税务条约的利益,除非(i)他/她是其中定义的美国居民,或(ii)他/她根据美国税务条约第24(4)条的规定被视为居民,及(iii)他或她享有该等福利的权利不受《美国税务条约》第26条(福利限制)的规定所限制。
股息剥离规则
根据有关反股息剥离的荷兰税法,倘我们所派付股息的收件人并非该等股息的实益拥有人,则不会获豁免或退还荷兰股息预扣税。
赠与税或遗产税
荷兰赠与税或遗产税将不会对普通股转让或非居民持有人死亡征收,除非转让被解释为继承或由或代表某人作出的赠与,该人在赠与或死亡时被视为荷兰居民。
对受益人征收赠与税和遗产税。就荷兰赠与和遗产税而言,荷兰国籍的个人如果在赠与或死亡之前的10年内任何时候都是荷兰居民,则被视为荷兰居民。就荷兰赠与税而言,不拥有荷兰国籍的人,如果他/她在赠与前12个月内的任何时候居住在荷兰,则被视为荷兰居民。
增值税
我们普通股的股息或我们股票的转让不征收荷兰增值税。
住宅
非居民持有人不会纯粹因为持有我们的普通股或执行、履行、交付及/或执行有关我们普通股的权利而成为荷兰居民或被视为荷兰居民。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 295 |
| 附录—荷兰税收(续) | | | | |
美国税收
以下是关于美国持有者(定义如下)以非荷兰税务居民的实益所有人的身份收购、拥有和处置普通股所产生的重大美国联邦所得税后果的讨论。本讨论仅涉及作为资本资产持有的普通股,不涉及适用于所有类别投资者的税收后果,其中一些投资者(如免税实体、金融机构、受监管的投资公司、选择按市值计价的证券交易商/证券交易商、保险公司、直接、间接或建设性拥有我们已发行有表决权股份10.0%或以上的投资者、作为对冲或转换交易一部分持有普通股的投资者、以及功能货币不是美元的投资者)可能受到特别规则的约束。此外,讨论没有涉及任何替代最低税或任何州、地方、外国房地产投资税法相关的美国联邦所得税后果,或非美国税收后果。
本讨论的基础是美国-荷兰所得税条约、1986年《国内税法》(经修订至此日期)、颁布的最终的、临时的和拟议的财政部条例及其行政和司法解释,其中任何在此日期之后的更改都可能具有追溯效力,可能会影响本文所述的税收后果。此外,不能保证美国国税局不会质疑本文所述的一个或多个税收后果,我们还没有、也不打算获得美国国税局关于收购或持有股份的美国联邦所得税后果的裁决或律师的意见。建议普通股的潜在购买者就其特定情况以及他们可能受到的美国联邦、州、地方或非美国税法的影响咨询其税务顾问。
如本文所用,“美国持有者”一词是指为美国联邦所得税目的而持有普通股的实益所有人,其持有的普通股不构成荷兰常设机构或固定基地的商业财产或资产的一部分;完全有权就此类普通股享受条约的利益;并且:
–美国的个人公民或税务居民;或
–为美国联邦所得税目的而在美国或其任何政治分区内或根据美国法律设立或组织的公司或其他实体;或
–其收入应缴纳美国联邦所得税的遗产,无论其来源如何;或
–一种信托,其管理受到美国境内法院的主要监督,并有一名或多名美国人有权控制其所有重大决定。
如果就美国联邦所得税而言被视为合伙企业的实体拥有普通股,则该合伙企业中合伙人的美国联邦所得税待遇通常取决于合伙人的地位和税务居住地以及合伙企业的活动。拥有普通股的合伙企业及其合伙人应就持有和处置普通股的美国联邦所得税后果咨询其税务顾问。
被动型外商投资公司应注意的问题
我们相信,就美国联邦所得税而言,我们于二零二二年并非被动外国投资公司,亦不会于二零二三年成为被动外国投资公司。然而,由于被动外国投资公司的地位是一项事实事项,必须在每个课税年度结束时每年确定,因此,在有关课税年度结束前,我们在任何特定年度的实际被动外国投资公司地位无法确定。我们目前尚未进行详细研究,以确认我们的非被动外国投资公司地位。如果我们在美国持有人拥有普通股的任何一年被视为被动外国投资公司,则可能会产生某些不利的税务后果。投资者应就任何被动外国投资公司的考虑事项咨询税务顾问。
股息的课税
美国持有人一般应在总收入中包括我们从当前或累计盈利和利润(根据美国联邦所得税目的而确定)中作出的任何非清算性分派(在减少荷兰预扣税之前)的总额,作为外国来源股息收入。分派将不符合资格就从其他美国公司收取的股息一般允许美国公司扣除的已收股息扣除。包括在美国持有人收入中的股息分配数额应是所支付的外币(例如欧元)的美元价值,由分配日期的即期汇率确定,而无论支付是否实际上转换为美元。超出当期及累计盈利及溢利的分派(按美国联邦所得税目的厘定)将被视为不应课税的资本回报,以美国持有人就普通股的美国税基为限,其后被视为应课税资本收益。我们目前并无根据美国联邦所得税原则计算我们的盈利及溢利。倘吾等不向美国持有人报告超过盈利及溢利的部分分派,则该分派一般须作为股息应课税,即使该分派根据上述规则被视为不应课税的资本回报或资本收益。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 296 |
| 附录—荷兰税收(续) | | | | |
在美国国内税收法典规定的限制下,美国持有人一般可从其美国联邦应课税收入中扣除合格荷兰预扣税金额,或从其美国联邦所得税负债中扣除合格荷兰预扣税金额。然而,荷兰预扣税只有在美国持有人不要求扣除该年度已支付或应计的任何荷兰或其他非美国税款时,方可计入。此外,荷兰股息预扣税可能无法计入美国持有人的美国税务责任,因为我们无需向荷兰税务管理局支付预扣税金额。目前,从合资格的非荷兰附属公司收取股息的荷兰公司可将从该等股息中预扣的来源国税款抵荷兰公司支付股息征收的荷兰预扣税,最多为荷兰公司支付股息的3.0%。该抵免减少了我们须向荷兰税务管理局支付的股息预扣税金额,但不会减少我们须从股息预扣税的税款金额。
就美国海外税收抵免而言,我们支付的股息一般会被视为海外来源收入和“被动类别收入”(或就某些持有人而言,被视为“一般类别收入”)。美国持有人就出售或交换普通股变现的收益或亏损一般将被视为美国来源收益或亏损。管理外国税收抵免的规则很复杂,我们建议每个美国持有人咨询其自己的税务顾问,以确定是否提供外国税收抵免以及在何种程度上提供外国税收抵免。
美国持有者收到的股息通常将按普通所得税税率征税。然而,经2004年《工作家庭税收减免法》、2004年《美国就业创造法》、《2012年美国纳税人救济法》以及最近的《2017年税改法》(第115-97号公法)修订的2003年《就业和增长税收减免协调法》将个人收到的某些股息的最高税率降至20.0%,只要某些例外情况不适用,且股票在除息日前60天开始的121天期间内至少持有60天。从“合格外国公司”获得的股息通常有资格享受较低的税率。在以下情况下,非美国公司(被动外国投资公司除外)一般将被视为合格外国公司:(I)非美国公司的股票可随时在美国成熟的证券市场上交易,或(Ii)非美国公司有资格享受与美国的全面所得税条约的好处,该条约已被确定为合格条约,并包含信息交流计划。此外,在收入限制的限制下,美国个人和美国居民、遗产和信托基金收到的股息将按3.8%的税率征收净投资所得税(NIIT)。美国个人持有人应就这一规定对其特定情况的影响咨询其税务顾问。
就被动活动损失的使用限制而言,我们支付的股息一般将构成“投资组合收入”(因此,一般不能被被动活动损失抵消),而就投资利息费用扣除的限制而言,我们支付的股息通常将构成“投资收益”。
出售或以其他方式处置普通股的税收
出售普通股或以其他方式处置普通股时,美国持有人一般会就美国联邦所得税确认资本收益或亏损,金额相当于已变现金额(如以美元支付)或已变现金额(以出售结算日的现货汇率厘定)与美国持有者在该等普通股中的美国课税基准(以美元厘定)之间的差额。一般来说,如果美国持有者在出售或其他处置普通股时持有普通股的期限超过一年,则资本收益或损失将是长期资本收益或损失。就美国联邦所得税而言,资本损失的扣除额受到限制。出售或以其他方式处置普通股的收益或损失一般将被视为美国来源的收入或损失,用于美国外国税收抵免目的。一般而言,在出售普通股之日至出售所得款项兑换成美元之日这段期间内,因汇率波动而产生的任何损益,将视作来自美国境内的普通收入或亏损。每名美国持有者在计算其经调整的美国税基时,应就适用的换算规则咨询其税务顾问,以及以美元以外的货币购买、出售或处置其普通股时的变现金额。
信息报告和备份扣缴
可以向IRS提交与普通股付款或出售、赎回或其他处置普通股所得款项有关的信息申报表。如果受益所有人未能向付款代理人提供正确的纳税人识别号码,并未能遵守某些认证程序或以其他方式建立备份预扣税豁免,则可对这些付款适用并预扣税。根据备用预扣规则预扣的任何金额可能会被退还(或计入受益所有人的美国联邦所得税负债,如有),这取决于事实并提供所需信息给国税局。
上述讨论仅供一般参考,并可能不适用,视乎持有人的特定情况而定。持有人应就购买、拥有和处置股份对他们的税务后果咨询税务顾问,包括根据州、地方和其他税法的税务后果,以及美国联邦和其他税法变化的可能影响。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 297 |
| 附录-融资政策 | | | | |
融资政策
我们继续坚持我们长期以来的审慎融资政策,该政策基于三个基本要素:
–流动性:保持充足的流动性,确保业务持续增长,并为现金流波动提供缓冲
–资本结构:维持一个目标是稳定的投资级信用评级的资本结构
–现金返还:提供可持续的每股股息,该股息将随着时间的推移而增长,每季度支付,同时通过股票回购或资本偿还向股东返还超额现金
流动性
我们的主要流动资金来源包括现金及现金等价物、短期投资及可用信贷融资。此外,我们可能不时在债券和股票市场筹集额外资金。我们致力确保我们的主要流动资金来源将足以满足我们在任何时候的流动资金需求。
我们的流动资金需求受多项因素影响,其中部分因素基于业务的正常持续运营,其他因素则受全球经济的不确定性、我们业务的庞大性以及半导体行业的特定特点所影响。尽管我们的现金需求会根据该等因素的时间及程度而波动,但我们相信,经营产生的现金连同我们的其他流动资金来源足以满足我们的预期需求,包括我们的预期资本开支、研发开支及偿债。
我们将现金及现金等价物以及短期投资于具有投资级信贷评级的金融机构、政府及政府相关机构的短期存款,以及投资于高评级短期及中期债务证券的货币市场及其他投资基金。我们的投资主要以欧元计值,并在一定程度上以美元、台币和人民币计值。
| | | | | | | | |
截至2013年12月31日的一年(欧元,百万欧元) | 2021 | 2022 |
存放在金融机构、政府和政府相关机构的存款 | 2,131.7 | | 2,548.1 | |
货币市场基金的投资 | 2,928.3 | | 3,196.7 | |
银行账户 | 1,891.8 | | 1,523.5 | |
现金和现金等价物 | 6,951.8 | | 7,268.3 | |
| | |
存放在金融机构、政府和政府相关机构的存款 | 638.5 | | 107.7 | |
短期投资 | 638.5 | | 107.7 | |
我们与一组银行维持可动用的已承诺信贷融资700,000,000欧元,于二零二二年及二零二一年年底并无未偿还金额。该融资到期日为二零二六年七月。我们进一步与中国一家银行维持本地未承诺信贷安排,确保本地流动资金及营运要求均能得到满足,同时亦考虑到现有有关公司间灵活融资的监管限制。
资本结构
我们管理资本结构的目标是通过维持确保流动性和支持稳健投资级信用评级的资本结构,保障我们满足资本提供者的能力。根据美国公认会计原则和欧盟国际财务报告准则,资本结构包括债务和股权组成部分。资本结构主要透过(其中包括)调整派付予股东的股息金额、股份回购或资本偿还金额以及债务水平的任何变动而改变。我们的资本结构每年都会与监事会就最新的长期财务计划和相关方案进行正式审查。本年度的检讨结果证实,我们维持现有的资本架构融资政策。
穆迪目前的信用评级为A2(稳定),与2021年12月31日的评级一致。我们目前的惠誉信用评级为A(稳定),该评级于2022年4月由A—上调。
我们持有本金总额为45亿欧元的未偿还欧元债券,到期日如下:
未偿还欧元债券到期金额
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 298 |
| 附录-融资政策(续) | | | | |
现金返还政策
ASML的目标是分配股息,将随着时间的推移而增长,每季度支付。每年,管理委员会经监事会事先批准后,向股东周年大会提交有关上一年度拟宣派股息金额的建议,并计及任何中期股息分派。任何指定年度的股息建议将视乎可供分派溢利、保留盈利及现金而定,并可能受(其中包括)我们对未来潜在流动资金需求(包括产能投资、营运资金需求、研发计划资金及不时产生的收购机会)的看法所影响。
ASML拟宣派二零二二年股息总额为每股普通股5. 80欧元。确认于二零二二年八月、二零二二年十一月及二零二三年二月派发的中期股息每股普通股1. 37欧元,因此向股东大会建议末期股息每股普通股1. 69欧元。二零二二年股息总额较二零二一年股息总额每股普通股5. 50欧元增加5. 5%。
除派付股息外,我们拟透过股份回购或资本偿还定期向股东返还现金,惟须视乎我们实际及预期的流动资金需求水平及其他相关因素而定。
于2022年11月10日,我们宣布将于2025年12月31日前执行的新股份回购计划。作为该计划的一部分,ASML计划回购最多120亿欧元的股份,我们预计总共将使用200万股股份支付员工股份计划。ASML拟注销其余购回股份。新计划取代了2022年10月18日完成的2021—2023年90亿欧元股票回购计划。
于2022年,我们以总代价4,639. 7百万欧元(2021年:8,560. 3百万欧元)购回8,538,787股股份(2021年:14,358,838股股份),其中355,324股股份乃根据新计划购回,代价2亿欧元。
每股股息历史
(除中期外,一年之股息于下一年度派付)
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 299 |
| 附录-政府规例 | | | | |
我们的业务受客户或我们开展业务的各个国家的直接和间接法规的约束,各类法规的变动可能会对我们的业务造成不利影响。随着我们的业务不断扩大,我们受到越来越多和越来越复杂的监管。这些条例包括环境条例、工作场所安全条例、证券法和证券交易所规则下的条例、反腐败条例、反垄断条例、国家安全条例、贸易条例。限制、出口管制 包括许可证或授权要求,获得使用美国技术和生产和开发此类技术的雇员的授权的要求。执行新的安全、环境或其他法律要求,包括限制出口管制, 所需的许可证和许可证或解释、实施或执行该等法规和要求的变更,可能影响我们的产品、我们的制造或分销流程或销售地点,以及我们可以提供产品和服务的地点,并可能影响产品引入的时间、我们的生产成本和产品及其在我们经营的每个市场的商业成功。这些法规的影响可能对我们的业务、财务状况和经营业绩造成不利影响,即使特定法规并不直接适用于我们或我们的产品。
更多内容请阅读:
风险--风险因素--6.法律和合规。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 300 |
| 附录-优惠和上市详情 | | | | |
我们的普通股以注册ASML NASDAQ股票和注册ASML Euronext阿姆斯特丹股票的形式上市交易。我们普通股的主要交易市场为阿姆斯特丹泛欧交易所(交易代码:ASML)。我们的普通股也在纳斯达克交易(交易代码:ASML)。
我们在纳斯达克上市的股份在摩根大通银行注册,根据ASML与JPMorgan Chase Bank N.A.之间的过户代理协议条款,我们在阿姆斯特丹泛欧交易所上市的股份通过荷兰中央证券托管和管理系统Euroclear Nederland的设施以非物质化形式持有。纽约过户代理向股东收取最高每100股5美元的费用,用于将我们在纳斯达克上市的股份交换为我们在泛欧交易所上市的股份,反之亦然。
本公司于纳斯达克上市之股份之应付股息乃以欧元宣派,并按管理委员会厘定之日期营业时间结束时之汇率换算为美元。所得款项透过纽约过户代理分派,我们在纳斯达克上市的股份持有人毋须就此转换或分派支付任何费用。
根据转让代理协议的条款,吾等已同意向纽约转让代理偿还若干自付费用,包括任何邮寄ASML一般向普通股持有人发出的通知、报告或其他通讯。纽约过户代理已放弃其与ASML与我们在纳斯达克上市的股票相关的日常服务相关的费用。此外,纽约转让代理人(作为转让代理人)已同意出资支付ASML就发行及转让我们在纳斯达克上市的股份而产生的若干开支。截至2022年12月31日止年度,转让代理就ASML产生的开支(主要包括因我们的股份在纳斯达克上市而产生的审计、咨询、法律及上市费用)出资700万美元。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 301 |
| 附录--材料合同 | | | | |
ASML和Carl Zeiss SMT GmbH之间的框架协议
2021年9月14日,ASML荷兰公司和Carl Zeiss SMT GmbH签署了一项新的总体框架协议,涵盖了他们关系的整个范围(ASML-SMT商业协议)。
有关更多详细信息,请参阅:
合并财务报表-合并财务报表附注-附注26关联方和可变利息实体。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 302 |
| 附录-外汇管制 | | | | |
在阿姆斯特丹泛欧交易所上市的我们的股票,如果有以欧元支付的现金分配,可以由荷兰的银行正式转账,并兑换成任何其他货币,而不受任何荷兰法律的限制。然而,出于统计目的,此类付款和交易必须由反洗钱组织向荷兰中央银行报告。此外,不得向受荷兰政府为执行联合国安全理事会决议而通过的某些制裁的司法管辖区支付任何款项,包括支付股息。我们在纳斯达克上市的股票的现金分配(如果有)应以欧元申报,但以美元支付,并按管理委员会根据组织章程为此目的指定的日期收盘时的汇率兑换。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 303 |
| 附录-展示的文件 | | | | |
我们遵守《交易法》的某些报告要求。作为一家“外国私人发行人”,我们不受《交易法》规定的某些披露和委托书征集程序要求的规则约束,而我们的高级职员、董事和主要股东就其购买和出售股份而言,也不受《交易法》第16条所载的报告和“短期”利润回收条款的约束。此外,我们不需要像根据《证券交易法》注册的非外国私人发行人的公司那样频繁或迅速地向SEC提交报告和财务报表。然而,我们必须在每个财政年度结束后的四个月内,向SEC提交一份表格20—F的年度报告,其中包含由独立会计师事务所审计的财务报表和由可扩展商业报告语言组成的交互式数据。我们在每个季度末后根据美国公认会计原则公布未经审核的中期财务资料。我们以6—K表格的形式向证券交易委员会提交季度财务信息。
我们向SEC提交的文件可在SEC网站上公开获取,其中包含有关注册人的报告和其他信息,这些信息需要以电子方式向SEC提交。本网站的地址是www.example.com。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 304 |
| 附录-控制和程序 | | | | |
披露控制和程序
截至2022年12月31日,ASML的高级管理层在ASML首席执行官和首席财务官的监督和参与下,对ASML的披露控制和程序的设计和操作(如《交易法》第13a—15(e)条所定义)的有效性进行了评估。基于这样的评估,ASML的首席执行官和首席财务官得出结论,截至2022年12月31日,ASML的披露控制和程序在及时记录,处理,总结和报告方面是有效的,ASML在其根据《交易法》提交或提交的报告中要求披露的信息,并有效确保ASML要求披露的信息得以累积并酌情与ASML的管理层(包括ASML的首席执行官和首席财务官)进行沟通,以便及时就所需的披露作出决定。
管理层关于财务报告内部控制的报告
ASML的管理层负责建立和维持对财务报告的适当内部控制,如《交易法》第13a—15(f)条所定义。在ASML首席执行官和首席财务官的监督和参与下,ASML管理层根据特雷德韦委员会发起的“内部控制—综合框架”(2013)的框架,对ASML截至2022年12月31日的财务报告内部控制的有效性进行了评估。基于该评估,管理层得出结论,ASML对财务报告的内部控制截至2022年12月31日有效,为财务报告的可靠性提供合理保证,并根据美国公认会计原则为外部目的编制财务报表。
独立注册会计师事务所毕马威会计师事务所已审核本年报所载财务报表,并已就ASML对财务报告的内部控制的有效性进行审核并出具报告(包括本报告)。
财务报告内部控制的变化
截至2022年12月31日止年度,我们对财务报告的内部控制并无重大影响或合理地可能对我们的财务报告内部控制产生重大影响的变化。
财务报告内部控制中披露控制和程序的固有局限性
应当指出的是,任何管制制度,无论其设计和运作如何完善,都只能提供合理的、而不是绝对的保证,确保该制度的目标能够实现。此外,任何控制系统的设计在一定程度上都是基于对未来事件可能性的某些假设。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 305 |
| 附录-财务日历和投资者关系 | | | | |
财务日历
2023年4月19日
公布2023年第一季度业绩
2023年4月26日
股东周年大会
2023年7月19日
公布2023年第二季度业绩
2023年10月18日
2023年第三季度业绩公布
财政年度
ASML的财年将于2023年12月31日结束
投资者关系
ASML投资者关系部向投资者和金融分析师提供有关公司及其商业机会的信息。我们的年度报告、季度发布和其他信息也可在我们的网站上查阅。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 306 |
| 附录- ASML联系信息 | | | | |
公司总部
De Run 6501
小行星5504
荷兰
邮寄地址
P.O.盒324
5500阿赫维尔德霍温
荷兰
投资者关系
电话:+31402683938
电子邮件:Investor.Relationship@asml.com
有关其他联系信息,请访问
www.asml.com.
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 307 |
| 附录-参考表20-F | | | | |
| | | | | | | | | | | |
项目 | 表格20-F标题 | 本文档中的位置 | 页面 |
第一部分 | | | |
1 | 董事、高级管理人员的身份 和顾问 | 不适用 | |
2 | 优惠统计数据和预期时间表 | 不适用 | |
3 | 关键信息 | | |
| B. 资本化和负债 | 不适用 | |
| C. 提供和使用收益的原因 | 不适用 | |
| D. 危险因素 | 风险--风险因素 | 56 |
4 | 关于公司的信息 | | |
| a. 公司历史与发展 | 封面页 | 1 |
| | 我们公司 | 9 |
| | 附录--财产、厂房和设备 | 292 |
| | 附录-展示的文件 | 303 |
| | 附录- ASML联系信息 | 306 |
| B. 业务概览 | 我们公司 | 9 |
| | 市场 | 23 |
| | 附注2与客户签订合同的收入 | 222 |
| | 附注3分部披露 | 227 |
| | 附录-政府规例 | 299 |
| C.组织结构 | 公司治理—遵守公司治理要求—公司信息 | 167 |
| D. 房及设备 | 附注13财产、厂房和设备,净额 | 234 |
| | 附录--财产、厂房和设备 | 292 |
4A | 未解决的员工意见 | 不适用 | |
5 | 运营和财务 回顾与展望 | |
| a. 经营业绩 | 财务业绩-业绩KPI | 44 |
| B. 流动资金及资本资源 | 财务业绩-业绩KPI | 44 |
| | 融资政策 | 297 |
| | 合并现金流量表 | 221 |
| | 附注4现金及现金等价物及短期投资 | 228 |
| | | | | | | | | | | |
项目 | 表格20-F标题 | 本文档中的位置 | 页面 |
| | 说明16.长期债务和利息及其他费用 | 239 |
| | 附注17承付款和或有事项 | 240 |
| | 附注25财务风险管理 | 255 |
| C. 研发、 许可证等。 | 与首席技术官的问答 | 20 |
| | 我们如何创新 | 12 |
| | 财务执行情况—研究和开发费用 | 46 |
| | 创新生态系统 | 118 |
| | 责任企业—知识产权保护 | 144 |
| D. 趋势信息 | 长期增长机会 | 49 |
| | 风险--风险因素 | 56 |
| E.关键会计估计数 | 合并财务报表—合并财务报表附注—附注1一般信息/一般会计政策概要 | 222 |
6 | 董事、高级管理人员和员工 | |
| a. 董事及高级管理层 | 公司治理 | 151 |
| B. 补偿 | 薪酬报告 | 186 |
| C. 董事会常规 | 公司治理 | 151 |
| | 公司治理—监事会报告—监事会委员会 | 177 |
| D.员工 | 社交-所有人都有吸引力的工作场所 | 97 |
| E.股份所有权 | 企业管治—股东周年大会及股本—主要股东 | 164 |
| | 薪酬报告—管理委员会薪酬 | 192 |
| | 附注20基于股份的薪酬 | 242 |
7 | 大股东和关联方交易 | |
| A.主要股东 | 企业管治—股东周年大会及股本—主要股东 | 164 |
| B. 关联交易 | 附注26关联方和可变利益实体 | 260 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 其他附录续 | 战略报告 | 治理 | 金融类股 | 308 |
| 附录--参考表20-F(续) | | | | |
| | | | | | | | | | | |
项目 | 表格20-F标题 | 本文档中的位置 | 页面 |
| C.专家和律师的利益 | 不适用 | |
8 | 财务信息 | | |
| A.合并报表和其他财务信息 | 合并财务报表 | 212 |
| B.重大变化 | 长期增长机会 | 49 |
| | 合并财务报表附注 | 222 |
9 | 报价和挂牌 | | |
| A.优惠和上市详情 | 附录-优惠和上市详情 | 300 |
| B.配送计划 | 不适用 | |
| C.市场 | 附录-优惠和上市详情 | 300 |
| D.出售股东 | 不适用 | |
| E.稀释 | 不适用 | |
| F.发行债券的费用 | 不适用 | |
10 | 附加信息 | | |
| A.股本 | 不适用 | |
| B.组织备忘录和章程 | 公司治理 | 151 |
| C. 重大合约 | 附录--材料合同 | 301 |
| D.外汇管制 | 附录-外汇管制 | 302 |
| e. 税务 | 附录--荷兰税收 | 293 |
| F.股息和支付代理人 | 不适用 | |
| G.专家的发言 | 不适用 | |
| H.展出的文件 | 附录-展示的文件 | 303 |
| 一、附属信息 | 不适用 | |
| J.向证券持有人提交的年度报告 | 不适用 | |
11 | 关于市场风险的定量和定性披露 | 说明16.长期债务和利息及其他费用 | 239 |
| | 附注25财务风险管理 | 255 |
12 | 除股权证券外的其他证券说明 | 附录-优惠和上市详情 | 300 |
| | | |
第II部 | | | |
13 | 违约、拖欠股息和拖欠股息 | 无 | |
| | | | | | | | | | | |
项目 | 表格20-F标题 | 本文档中的位置 | 页面 |
14 | 对担保持有人权利和收益使用的实质性修改 | 无 | |
15 | 控制和程序 | 附录-控制和程序 | 304 |
16A | 审计委员会财务专家 | 监事会报告-监事会委员会-审计委员会
| 178 |
16B | 道德守则 | 负责任的商业--商业道德和行为准则 | 136 |
16C | 首席会计师费用及服务 | 附录-首席会计师费用和服务 | 291 |
16D | 对审计委员会的上市标准的豁免 | 不适用 | |
16E | 发行人及关联购买人购买股权证券 | 附注22股东权益 | 252 |
16F | 更改注册人的认证会计师 | 无 | |
16G | 公司治理 | 公司管治-遵守公司管治要求-美国上市要求 | 167 |
16H | 煤矿安全信息披露 | 不适用 | |
16I | 关于妨碍检查的外国司法管辖区的披露 | 不适用 | |
第三部分 | | | |
17 | 财务报表 | 不适用 | |
18 | 财务报表 | 合并财务报表 | 212 |
19 | 展品: | 展品索引 | 317 |
本文件包含ASML Holding N.V.截至2022年12月31日的Form 20-F年度报告所需的信息。请参阅上面的Form 20-F交叉参考表。只有本文件中在Form 20-F交叉引用表和本段、本交叉引用表本身以及关于前瞻性陈述的特别说明一节中引用的信息,才应被视为出于任何目的向美国证券交易委员会备案。本文件中未在Form 20-F交叉参考表中引用的任何附加信息或证物本身,不得被视为通过引用并入本文件,也不得作为Form 20-F的2022年年度报告的一部分,仅供美国证券交易委员会参考。
本文件还包括对ASML网站上包含的某些信息的引用:ASML网站上包含的信息不是通过引用并入的,也不构成本文件的一部分。
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 定义 | 战略报告 | 治理 | 金融类股 | 309 |
| 定义 | | | | |
| | | | | | | | |
名字 | | 描述 |
0-9 | | |
3TG | | 锡、钽、钨和金 |
3D NAND | | 一种非易失性闪速存储器,其中存储单元垂直堆叠成多层。 |
A | | |
A&M | | 接入和移动性 |
ABC合规性审查 | | 反贿赂和腐败合规审查 |
阿达斯 | | 先进的驾驶员辅助系统 |
阿迪 | | 开发后检查 |
原子力显微镜 | | 荷兰金融市场管理局(Autoriteit Financiële Markten) |
年度股东大会 | | 股东周年大会 |
AI | | 人工智能 |
AIoT | | 物联网的人工智能 |
年报 | | 20-F表格年度报告 |
应用材料。 | | 半导体设备公司 |
ARCNL | | 纳米光刻高级研究中心 |
ARF | | 氟化氩 |
阿尔菲 | | 氟化氩浸泡 |
ASC | | 会计准则编撰 |
ASC 740 | | 会计准则编纂所得税规定 |
ASML | | ASML Holding N.V.和/或其任何子公司 |
ASML基金会 | | 一个与ASML有密切联系的独立慈善机构,支持ASML运营地区针对4至18岁弱势儿童的教育倡议。 |
| | |
| | |
B | | |
BAPA | | 双边预定价协议 |
节拍 | | 基数侵蚀和反滥用税 |
| | |
大数据 | | 可以通过计算分析的超大数据集,以揭示模式、趋势和关联。 |
四大会计师事务所 | | 德勤、安永、毕马威和普华永道 |
BOM表 | | 管理委员会 |
BOM | | Brabantse Ontwikkelings Maatscappij |
| | | | | | | | |
名字 | | 描述 |
埃因霍温智囊团 | | 荷兰南部的一个科技区,由公司、教育机构和政府组织组成。 |
BREEAM | | 建筑研究机构环评方法 |
布里昂 | | 布里昂技术公司 |
C | | |
年复合增长率 | | 复合年增长率 |
佳能 | | 佳能Kabushiki Kaisha |
| | |
资本支出 | | 资本开支,定义为物业、厂房及设备添置加上无形资产添置加上使用权资产添置(经营及财务)。 |
资本资源 | | 用于生产商品和服务的金融、制造、知识、人力、社会和关系以及自然要素。 |
卡尔·蔡司SMT | | 卡尔蔡司SMT有限公司 |
现金转换率 | | 控制中的一种经济统计,它代表现金流量和净利润之间的关系。 |
CCIP | | 客户共同投资计划 |
CCPA | | 《加州消费者隐私法案》(美国) |
CCR% | | 现金转换率百分比 |
光盘 | | 临界尺寸 |
CDP | | 碳披露项目 |
首席执行官 | | 首席执行官 |
欧洲核子研究组织 | | 欧洲核研究组织 |
首席财务官 | | 首席财务官 |
CGU | | 现金产生单位 |
CGU ASML | | ASML不包括CGU Cymer光源 |
CHIPS和科学法案 | | 2022年8月签署的《2022年生产半导体和科学法案》(CHIPS法案)旨在提高美国竞争力、创新和国家安全。 |
CISO | | 首席信息安全官 |
切特 | | 企业所得税 |
CLA | | 集体劳动协议 |
洁净室 | | 晶圆制造厂的中心部分,在此进行晶圆加工,并仔细控制环境以消除灰尘和其他污染物。 |
CMD | | 资本市场日 |
CMO | | 首席营销官 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 定义续 | 战略报告 | 治理 | 金融类股 | 310 |
| 定义(续) | | | | |
| | | | | | | | |
名字 | | 描述 |
CMOS | | 互补金属氧化物半导体 |
公司2 | | 二氧化碳 |
| | |
代码 | | 《荷兰公司治理准则》 |
行为规范 | | 道德和行为准则 |
公司 | | ASML Holding N.V. |
计算光刻 | | 使用强大的算法和制造过程的计算机建模来优化掩模图案,通过有意地变形掩模图案以补偿光刻和图案化过程中发生的物理和化学效应。 |
首席运营官 | | 首席运营官 |
COSO | | 特雷德韦委员会赞助组织委员会 |
新冠肺炎 | | 2019年冠状病毒病 |
| | |
CRC | | ASML的企业风险委员会 |
克雷 | | ASML公司房地产部 |
CRMC | | 资本研究与管理公司 |
CSRD | | 企业可持续发展报告指令 |
CTO | | 首席技术官 |
Cyber Weerbaarheidscentrum Brainport | | Brainport埃因霍温地区的基金会,为高科技和制造业的公司提供加强网络犯罪保护的机会 |
Cmer | | Cmer Inc.、Cmer LLC及其子公司 |
D | | |
D&E | | 开发与工程 |
| | |
碎片整理 | | |
德勤 | | 德勤会计师事务所 |
D&I | | 多样性和包容性 |
DJSI | | 道琼斯可持续发展指数 |
DRAM | | 动态随机存取存储器 |
DUV | | 深紫外 |
E | | |
| | |
EAC | | 能源属性证书 |
息税前利润 | | 息税前收益 |
| | | | | | | | |
名字 | | 描述 |
EHS | | 环境、健康和安全 |
EHS能力中心 | | ASML内部的一个小组,负责定义EHS标准,收集最佳实践并帮助管理人员实施这些标准。 |
EIM | | 外部接口模块 |
欧洲、中东和非洲地区 | | 欧洲、中东和非洲 |
EMS | | 环境管理体制 |
EPE | | 边放置错误 |
易办事 | | 每股收益 |
ERM | | 企业风险管理 |
ERP | | 企业资源规划系统 |
欧空局 | | 欧洲航天局 |
eScan | | ASML的电子束晶圆检测系统系列,用于定向在线缺陷检测。 |
ESG | | 环境、社会和治理 |
ESG分数 | | 信用评级决策中使用的环境、社会和治理(ESG)因素的综合评分系统。 |
ETR | | 实际税率 |
欧盟 | | 欧盟 |
欧盟-国际财务报告准则 | | 欧盟采用的国际财务报告准则 |
Euribor | | 欧元银行同业拆借利率 |
欧元债券 | | 以欧元计价的债券 |
Euroclear荷兰 | | 荷兰中央证券保管所(荷兰中央研究院Giraal Effectenverkeer B.V.) |
阿姆斯特丹泛欧交易所 | | 泛欧交易所阿姆斯特丹,N.V. |
EUV | | 一种使用波长为13.5纳米的极紫外光的光刻技术。这是目前光刻的前沿,使能16纳米及以上的技术节点。它仅用于具有最小要素的最关键的层。 |
执行副总裁 | | 总裁常务副总经理 |
人力资源执行副总裁 | | 总裁常务副总裁人力资源与组织 |
《交易所法案》 | | 1934年美国证券交易法 |
EXCOM | | 执行委员会 |
F | | |
法布 | | 半导体加工厂 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 定义续 | 战略报告 | 治理 | 金融类股 | 311 |
| 定义(续) | | | | |
| | | | | | | | |
名字 | | 描述 |
快速装运 | | 快速发货过程跳过了我们工厂的一些测试。然后在客户现场进行最终测试和正式验收。这导致这些出货的收入确认推迟到客户正式接受,但确实为我们的客户提供了更早的晶圆输出能力 |
常见问题解答 | | 常见问题 |
农场用品 | | 我们作为共同投资者与我们合作的供应商 |
胖的 | | 工厂验收测试 |
FDII | | 外国衍生的无形收入 |
功能 | | 构成微芯片特定层图案的元素 |
FFHA | | 医院艺术基金会 |
惠誉 | | 为全球资本市场提供信用评级、评论和研究的领先供应商 |
闪光灯 | | 一种用于存储和传输信息的非易失性存储器 |
铸造厂 | | 逻辑芯片的代工制造商 |
弗劳恩霍夫 | | 德国的应用研究机构 |
全职员工 | | 全职同等资历 |
FTSE4好 | | 富时集团于2001年推出一系列道德投资股票市场指数。 |
G | | |
G种子 | | 能源与环境设计绿色标准 |
公认会计原则 | | 公认会计原则 |
国内生产总值 | | 国内生产总值 |
GDPR | | 一般数据保护法规 |
GeSI | | 全球电子可持续发展倡议 |
温室气体 | | 温室气体 |
GILTI | | 全球无形低税收入 |
GPU | | 图形处理单元 |
格雷 | | 全球报告倡议 |
GRI标准 | | GRI可持续发展报告标准 |
H | | |
H2 | | 分子氢 |
硬盘 | | 硬盘驱动 |
| | |
人机界面 | | ASML的一系列电子束(电子束)晶圆检测和计量系统的品牌名称 |
| | | | | | | | |
名字 | | 描述 |
整体光刻 | | 我们将光刻系统与计算建模和晶片计量解决方案相集成,以实时分析和控制制造过程,从而优化整个微芯片印刷工艺,并实现芯片技术的可负担规模 |
地平线欧洲计划 | | 公私伙伴关系,在应对全球挑战的同时,促进合作并加强研究和创新在制定、支持和实施欧盟政策方面的影响 |
人力资源与运营 | | 人力资源与组织 |
高温超导 | | 高科技系统中心 |
豪氏威马 | | 豪氏威马设备公司 |
暖通空调 | | 供暖、通风和空调 |
I | | |
国际会计准则 | | 国际会计准则 |
IBM | | 客户群管理 |
集成电路 | | 集成电路 |
信通技术 | | 信息和通信技术 |
ID2PPAC | | 集成2nm节点的工艺和模块,满足功耗性能面积和成本要求 |
IDM | | 集成设备制造商 |
国际财务报告准则 | | 国际财务报告准则 |
内部控制—2013年综合框架 | | 特雷德韦委员会赞助组织委员会发布的标准。 |
知识产权 | | 知识产权 |
爱尔兰共和军 | | 2022年减少通货膨胀法 |
IIRC | | 国际综合报告理事会 |
I-REC | | 国际可再生能源证书 |
美国国税局 | | 美国国税局 |
I型线 | | 波长为365纳米的光,由水银蒸汽灯产生,用于某些光刻系统 |
国际劳工组织 | | 国际劳工组织 |
成象 | | 利用光将图形转移到晶片上的光刻胶上 |
IMEC | | 大学间微型Elektronica中心 |
浸没式光刻 | | 一种光刻技术,在透镜和晶圆之间使用一池超纯水来增加透镜数值孔径(收集和聚焦光的能力)。这提高了光刻系统的分辨率和焦深。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 定义续 | 战略报告 | 治理 | 金融类股 | 312 |
| 定义(续) | | | | |
| | | | | | | | |
名字 | | 描述 |
收录指数 | | 总分与WE@ASML调查中包含的问题有关,这些问题具体与“包容”有关 |
英特尔 | | 英特尔公司 |
物联网(IoT) | | 嵌入传感器、致动器、电子设备和软件的物理对象网络,允许对象收集和交换数据 |
IT2 | | 2 NM节点集成电路技术(欧盟项目) |
知识产权 | | 知识产权 |
ISO | | 国际标准化组织 |
J | | |
JG+13 | | 职级13及以上 |
摩根大通 | | 总部位于美国的全球金融服务领先者,为世界上最重要的公司、政府和机构提供解决方案 |
K | | |
KLA-天丝 | | KLA-天丝公司 |
关键绩效指标 | | 关键绩效指标 |
毕马威 | | 毕马威会计师事务所 |
K-Reach | | 《韩国化学品登记和评估法》 |
Krf | | 氟化氪 |
基特 | | 千吨或1,000公吨(1公吨=1,000公斤的质量单位) |
千瓦时 | | 千瓦时 |
L | | |
LED灯 | | 发光二极管 |
LEED | | 能源和环境设计领域的领先地位 |
LGBTQIA+ | | 女同性恋者、男同性恋者、双性恋者、变性人、同性恋者、双性恋者、无性恋者和其他身份 |
伦敦银行同业拆借利率 | | 伦敦银行间同业拆借利率 |
光刻 | | 光刻法,或光刻法,是微芯片制造的过程,利用光在硅片上形成图案。 |
逻辑 | | 微处理器、微控制器和GPU等集成设备。也指制造此类设备的公司。 |
LTI | | 长期激励 |
LXP | | 学习体验平台 |
M | | |
工商管理硕士 | | 工商管理硕士 |
| | | | | | | | |
名字 | | 描述 |
记忆 | | 存储信息的微芯片,如NAND闪存和DRAM。也指制造此类芯片的公司。 |
Metalektro | | 多雇主工会计划由PME(Stichting Pensioenfonds Van De Metalektro)管理。 |
计量学 | | 度量衡或测量的科学。 |
Mm | | 毫米(千分之一米) |
MNP | | 打造下一个平台 |
穆迪 | | 一家提供企业评级的美国信用评级机构。 |
MPS | | 成熟的产品和服务 |
MSCI明晟 | | 摩根士丹利资本国际 |
大山 | | 百万吨,公制单位,相当于100万(106)吨,或10亿(109)公斤 |
兆瓦 | | 兆瓦,公制单位,相当于一百万(106瓦特) |
N | | |
北美 | | 数值孔径 |
NAND | | 一种二元逻辑运算符,当它接收到一个输入或没有输入时给出输出;‘非与’的复合词。 |
纳米级 | | 纳米尺度(nanoscope scale)通常指的是具有适用于纳米技术的长度尺度的结构,通常被引用为1—100纳米。 |
纳斯达克 | | 纳斯达克股市有限责任公司 |
净预订量 | | 净预订包括所有系统销售订单和通货膨胀相关调整,已接受书面授权。 |
净零排放 | | 达到净零排放状态涉及:(a)将范围1、2和3的排放量减少到零或达到符合条件的1.5 ℃设想方案或部门路径中在全球或部门一级达到净零排放量的剩余水平;(b)中和净零目标日期的任何剩余排放量和此后释放到大气中的任何温室气体排放量。 |
非政府组织 | | 非政府组织 |
NIIT | | 净投资所得税 |
尼康 | | 尼康公司 |
NL | | 荷兰 |
NM | | 纳米(十亿分之一米) |
节点 | | 作为芯片制造行业为更小功能和更先进的微芯片路线图的跳板,描述和区分了几代半导体制造技术和用它们制造的芯片。“较小尺寸”的节点指的是更先进的技术。 |
非公认会计原则 | | 一家公司的历史或未来财务业绩、财务状况或现金流量,这些都不是按照最具可比性的公认会计原则计算或列报的。 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 定义续 | 战略报告 | 治理 | 金融类股 | 313 |
| 定义(续) | | | | |
| | | | | | | | |
名字 | | 描述 |
国家公共电台 | | 与产品无关 |
NRE | | 非循环工程 |
NXE | | 用于EUV光刻的独创TWINSCAN系统平台 |
NXT | | 原始TWINSCAN系统平台的增强版,显著提高了覆盖率和生产率 |
O | | |
保监处 | | 其他综合收益 |
ODM | | 原创设计制造商 |
经济合作与发展组织 | | 经济合作与发展组织 |
代工 | | 原始设备制造商 |
一 | | ASML的Our New Enterprise计划旨在改善我们的业务流程和IT企业管理系统 |
运营员工 | | 客户支持、制造和供应链管理员工 |
覆盖层 | | 芯片结构的层间对准 |
P | | |
损益表 | | 损益表 |
PAS | | 飞利浦自动步进机 |
图案保真度 | | 对晶圆上所需图案复制程度的全面测量 |
图案保真度控制 | | 一种全面的方法来控制高批量生产先进微芯片的整个过程,旨在提高整体产量。它从生产设备和计算光刻工具中提取数据,并通过机器学习等技术进行分析,以提供实时反馈。 |
图案化 | | 在表面上形成图案以制造微芯片的过程 |
PCAOB | | 上市公司会计监督委员会 |
全氟辛烷磺酸 | | 全氟烷基化学品 |
PGP | | 产品生成过程 |
飞利浦 | | 健康科技公司,总部设在荷兰 |
PHLX指数 | | 半导体板块指数 |
Pin3S | | 3nm半导体技术的试验集成 |
PIS | | 绩效指标 |
PME | | Bedrijfstakpensioenfonds Metalektro |
优先股基金会 | | Aandelen ASML首选项Stichting |
| | | | | | | | |
名字 | | 描述 |
优先股期权 | | 在我们的资本中购买累积优先股的选择权 |
Q | | |
问答 | | 问答 |
QLTCS | | 质量、物流、技术、成本和可持续性 |
R | | |
研发 | | 研发 |
澳洲央行 | | 负责任的商业联盟 |
RC | | ASML的薪酬委员会 |
到达 | | 化学品的注册、评估、授权和限制 |
可收回的数额 | | 资产公允价值减销售成本与其使用价值的较大者 |
雷马 | | EUV掩模模组 |
薪酬政策 | | 适用于ASML Holding N.V.管理层之薪酬政策。 |
网纹 | | 包含每次曝光时要转移到晶片上的特征图案的板。 |
ROAIC | | 平均投资资本回报率 |
RoHS | | 对有害物质的限制 |
S | | |
标普(S&P) | | 标准普尔是美国股票指数,由于其成分广泛,可以可靠地反映美国股票市场的发展情况。 |
三星 | | 三星电子公司 |
SAQ | | 自我评估问卷 |
萨班斯-奥克斯利法案 | | 2002年的《萨班斯-奥克斯利法案》 |
坐着 | | 现场验收试验 |
某人 | | ASML监事会 |
SBTI | | 以科学为基础的目标倡议 |
范围1 CO2电子排放物 | | 组织拥有或控制的资源的直接二氧化碳排放 |
范围2 CO2e 排放 | | 一个组织消耗的能源导致的间接二氧化碳排放 |
范围3 CO2电子排放物 | | 组织价值链中发生的所有其他间接二氧化碳排放 |
范围3二氧化碳排放强度 | | 组织价值链中发生的所有其他间接二氧化碳排放量,以收入或毛利润的百分比表示 |
可持续发展目标 | | 联合国的可持续发展目标 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 定义续 | 战略报告 | 治理 | 金融类股 | 314 |
| 定义(续) | | | | |
| | | | | | | | |
名字 | | 描述 |
美国证券交易委员会 | | 美国证券交易委员会 |
半个 | | 半导体设备和材料国际 |
半S_2 | | 半导体制造设备的安全指南、环境、健康和安全指南,半导体制造设备的一套基于性能的EHS考虑因素 |
半S23 | | 半导体制造设备使用的节能、实用和材料指南,收集、分析和报告耗能半导体制造设备实用数据的指南 |
SG&A | | 销售、一般和行政费用 |
收缩 | | 为更先进的芯片开发更小的晶体管的过程。 |
智能光子学 | | 集成光子电路的铸造业 |
SoC | | 片上系统 |
SPE股东 | | 一个由三家银行组成的财团,目的是租赁ASML在维尔德霍温的总部。 |
SPIE | | 国际光学和光子学学会 |
S与SC | | 采购和供应链 |
SSD | | 固态硬盘 |
| | |
跳板040 | | 埃因霍温的社会关怀组织为无家可归者提供支持和指导 |
SSRA | | 安全风险评估 |
茎 | | 科学、技术、工程和数学 |
STI | | 短期激励 |
应力 | | Stichting Technology Rating,一个非营利组织。 |
子制造厂 | | 子工厂位于洁净室地板下,包含辅助设备,如驱动激光器 |
SWOT | | 优势、劣势、机会和威胁 |
T | | |
TAPES3 | | 3nm增强型半导体中试线技术进展 |
TCFD | | 气候相关披露工作队 |
TC | | ASML技术委员会 |
TCC | | 现金薪酬合计 |
TCFD | | 与气候有关的财务披露工作队 |
TCJA | | 减税和就业法案 |
贸发局 | | 总直接薪酬 |
| | | | | | | | |
名字 | | 描述 |
技术能力 | | 技术专长在我们员工中的能力和传播,以及它们在我们流程和运营中的嵌入程度 |
泰利斯NL | | 国际泰利斯集团荷兰分公司 |
吞吐量 | | 系统每小时可处理的晶片数量 |
1级供应商 | | 第一级供应商是直接供应商,而第二级、第三级及以上是指我们供应商的供应商 |
TJ | | 万亿焦耳 |
TNO | | 荷兰应用科学研究组织 |
晶体管 | | 一种半导体器件,是微芯片的基本组成部分 |
TSCA | | 《有毒物质控制法》 |
TSMC | | 台积电有限公司 |
TSR | | 股东总回报 |
双人扫描 | | ASML独特的光刻系统平台,具有两个完整的晶圆平台,允许一个晶圆被映射,而另一个晶圆被曝光,从而实现更高的精度和产量 |
U | | |
UNGP | | 联合国指导原则 |
我们 | | 美国 |
美国公认会计原则 | | 美国公认会计原则 |
美国国际贸易中心 | | 美国国际贸易委员会 |
V | | |
范德兰德 | | 一家总部位于荷兰的物资搬运和物流自动化公司 |
增值税 | | 增值税 |
VIE | | 可变利息实体 |
VLSI | | 超大规模集成电路研究公司 |
VNO-NCW | | 荷兰工业和雇主联合会 |
VOC | | 挥发性有机化合物 |
副总裁 | | 美国副总统 |
VPA | | 批量采购协议 |
VPC | | 批量零件合同 |
W | | |
WAccess | | 加权平均资金成本 |
晶片检验 | | 定位和分析晶圆片上单个芯片缺陷的过程 |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 定义续 | 战略报告 | 治理 | 金融类股 | 315 |
| 定义(续) | | | | |
| | | | | | | | |
名字 | | 描述 |
晶片量测 | | 测量晶圆片上图形质量的过程 |
浪费强度 | | 总废物(百万公斤)(不包括建筑废物)除以收入(百万欧元) |
波长 | | 波的两个波峰之间的距离,如光。光刻系统中使用的光波长越短,系统可以分辨的特征越小。 |
网站 | | Www.asml.com |
什么? | | 预提税金 |
劳资关系局 | | ASML荷兰B.V. |
WPH | | 每小时晶圆片数 |
X | | |
Xtal | | Xtal,Inc. |
Y | | |
YeldStar | | ASML基于衍射法的晶圆计量平台 |
Z | | |
蔡司 | | 卡尔蔡司股份公司 |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | |
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 签名 | 战略报告 | 治理 | 金融类股 | 316 |
| 签名 | | | | |
ASML Holding N.V.特此证明,其符合表格20—F提交的所有要求,并已正式促使并授权下列签署人代表其签署表格20—F的年度报告。
ASML Holding N.V.(注册人)
/S/彼得·T·F·M·温宁克
姓名:彼得·T·F·M·温宁克
职务:总裁,首席执行官兼管理委员会成员
日期:2023年2月15日
/S/罗杰J.M.达森
姓名:罗杰·J·M·达森
职务:常务副董事长总裁,财务总监、管理委员会成员
日期:2023年2月15日
| | | | | | | | | | | | | | | | | | | | |
| | | | | | |
| ASML2022年度报告 | 展品索引 | 战略报告 | 治理 | 金融类股 | 317 |
| 展品索引 | | | | |
| | | | | | | | |
展品编号: | | 描述 |
1 | | ASML Holding N.V.公司章程(英文翻译)(参考2013年2月8日向SEC提交的注册人注册声明第13号修正案合并) |
2.1 | | 根据《交易法》第12条登记的证券说明(通过参考截至2021年12月31日止年度的注册人表格20F年度报告而纳入) |
4.1 | | ASML Holding N.V.与其管理委员会成员之间的赔偿协议格式(参考注册人截至2003年12月31日的年度表格20—F年度报告) |
4.2 | | ASML Holding N.V.及其监事会成员之间的赔偿协议格式(参考注册人截至2003年12月31日的年度表格20—F) |
4.3 | | 管理委员会成员的雇佣协议表格(参照注册人截至2003年12月31日的财政年度表格20—F而纳入) |
4.4 | | 2004年12月10日,ASML Holding N.V.和尼康公司签署的尼康—ASML专利交叉许可协议(参考注册人20—F表格,截至2014年12月31日的财政年度报告)1 |
4.5 | | Carl Zeiss SMT AG和ASML Holding N.V.于2004年12月10日签署的ASML/Carl Zeiss分许可协议(2004年12月31日截止的财政年度,通过参考注册人20—F表格的年度报告而合并)1 |
4.6 | | 管理委员会成员的ASML绩效股票计划(版本1)(参考2007年7月5日提交给SEC的S—8表格注册人注册声明(文件编号333—144356)) |
4.7 | | 管理委员会成员的ASML绩效股票期权计划(第2版)(通过参考2007年7月5日提交给委员会的S—8表格注册人的注册声明(文件编号:333—144356)合并) |
4.8 | | ASML管理委员会伞形股份计划(参考注册人于2015年4月13日向SEC提交的表格S—8注册声明(文件编号:333—203390)) |
4.9 | | Carl Zeiss AG、ASML Holding N.V.和Carl Zeiss SMT Holding Management GmbH于2017年6月29日签署的合伙企业和合资企业协议(通过参考注册人截至2017年12月31日财年的表格20—F年度报告合并) |
4.10 | | 尼康公司、ASML Holding N.V.和Carl Zeiss SMT GmbH于2019年2月18日签署的结算和交叉许可协议,以及关于第3(b)2.2.1、3.8、6.3.3、6.6、10.6、10.8、10.14和10.15节,Carl Zeiss AG(根据注册人20—F表格的年度报告注册成立,截至2019年12月31日)3 |
4.11 | | ASML—SMT业务协议,2021年7月21日,ASML荷兰公司与Carl Zeiss SMT GmbH3 |
| | | | | | | | |
展品编号: | | 描述 |
8.1 | | 主要子公司列表2 |
12.1 | | 根据1934年证券交易法第13 a-14(a)条对CEO和CFO进行认证2 |
13.1 | | 根据1934年证券交易法第13 a-14(b)条对CEO和CFO进行认证2 |
15.1 | | 独立注册会计师事务所的同意2 |
101.INS | | XBRL实例文档2 |
101.SCH | | XBRL分类扩展架构文档2 |
101.CAL | | XBRL分类扩展计算链接库文档2 |
101.DEF | | XBRL分类扩展定义Linkbase文档2 |
101.LAB | | XBRL分类扩展标签Linkbase文档2 |
101.PRE | | XBRL分类扩展演示文稿Linkbase文档2 |
104 | | 封面交互数据文件(格式为内联XBRL,包含在附件101中)2 |
1.根据单独向美国证券交易委员会提出的保密处理请求而遗漏的某些信息。
2.兹提交美国证券交易委员会。
3.本展览的某些部分被省略,因为它们(I)不是实质性的,(Ii)登记人通常并实际上将信息视为私人或机密。
ASML是六项债务工具(优先票据)的缔约方,根据这些工具,每项个别债务工具下的证券总额不超过ASML及其附属公司在综合基础上的总资产的10%。根据《展品须知20-F》第2(B)(I)款,反兴奋剂机构同意应要求向美国证券交易委员会提供此类文书的副本。ASML的高级笔记如下:
–卢森堡证券交易所2023年到期的3.375%阿斯麦固息优先债券(XS0972530561);
–卢森堡证券交易所2026年到期的1.375%阿斯麦固息优先债券(XS1405780963);
–卢森堡证券交易所2027年到期的1.625%阿斯麦固息优先债券(XS1527556192);
–卢森堡证券交易所2029年到期的0.625%阿斯麦固息优先债券(XS2166219720);
–卢森堡证券交易所2030年到期的0.250厘阿斯麦固息优先债券(XS2010032378);及
–2.250厘阿斯麦定息优先票据,2032年在卢森堡证券交易所到期(XS2473687106)。