附录 99.4

LOGO

EUV 产品和商业机会 Christophe Fouquet 业务线执行副总裁 EUV


LOGO

EUV 产品和商机关键信息幻灯片 2 2021 年 9 月 29 日 ASML EUV 光刻技术通过改善光刻分辨率、最先进的叠加性能和逐年降低成本来扩展我们的 Logic 和 DRAM 客户路线图。我们的客户将在逻辑节点中使用 EUV,并于 2021 年开始在 DRAM 节点中使用 EUV。EUV layers 的采用率持续增长,以降低模式化复杂性和成本 ASML EUV 能力提升与其生产力路线图相结合,预计将支持未来几年客户激增的需求 EUV 产品路线图预计将扩展我们的 EUV 平台并同时推出 EUV 0.55 NA 平台,为客户提供全面灵活的解决方案,我们预计将在未来十年继续提高 EUV 的盈利能力 通过组合执行我们的价值所花费的时间完善产品路线图和雄心勃勃的系统和服务成本降低路线图公开


LOGO

Logic 和 DRAM 均已投入生产 EUV 0.33 NA,预计其采用率将继续增长 EUV 0.33 NA 扩展和 EUV 0.55 NA 的引入预计将延长 EUV 的价值延续到未来十年。我们的 EUV 产品和服务价值的增加预计将继续推动盈利能力


LOGO

EUV 0.33 NA 已投入生产,适用于 Logic 和 DRAM 所有先进的半导体制造商预计将在 2021 年 9 月 29 日幻灯片 4 中运行 EUV 最快、容量最大的移动存储器基于当今最先进 (1z) 处理节点,三星全新 16Gb LPDDR5 是第一款使用 EUV 技术批量生产的内存,提供 最高的速度和最大的移动 DRAM 可用容量。来源:三星,新闻稿,韩国 2020 年 8 月 30 日来源:苹果,新闻稿,2020 年 11 月 10 日 SK 海力士开始使用 EUV 设备批量生产 1anm DRAM 海力士, 首尔,2021 年 7 月 12 日美光宣布到 2024 年建成 EUV 晶圆厂来源:英特尔、帕特·盖尔辛格、《设计未来》,2021 年 3 月 23 日来源:登记册,2021 年 7 月公开


LOGO

EUV 0.33 NA 的采用得益于大批量制造中的平台成熟度幻灯片 5 2021 年 9 月 29 日 100% 3000 系统产量 95% 每天最大晶圆(单一系统,每周平均值)2500 已安装基础系统可用性 90% 第 4 周移动平均值(期末)85% 每 80% 75% 1500 可用性晶圆 70% 1000 60% 50% 2018 2019 2021 ASML 承诺将使 EUV 的可用性超过 95% 并增加晶圆每天的可用性到 2025 年产量将超过 50% 来源:ASML 装机库数据公开


LOGO

Logic 和 DRAM 预计将继续采用 EUV 0.33 NA 以支持最先进的设备路线图 2021 年 9 月 29 日幻灯片 30 今日实况 Roadma 20 Logic 曝光最大内存最小值 10 0 2018 2019 2020 2021 2022 2023 20242025 公开


LOGO

与 相比,EUV 采用率的提高预计将导致 2025 年每年 EUV 晶圆的出货量增加 2 倍以上 2021 年 9 月 29 日幻灯片 800% 30 今日实际情况路线图(目标)年份每 20 个逻辑暴露的内存最小 10 EUV 数字逻辑总计 100% 2018 2019 2021 2023 2024 2025 公共


LOGO

ASML 将通过增加出货量和系统生产率来满足 EUV 需求幻灯片 8 2021 年 9 月 29 日实际情况 路线图 400%(雄心壮志) [#]wear wph*]每人 300%[put 通过 200% 净值/容量运送系统 | 100% 2018 2019 2020 2021 2022 2024 2025 NXE: 3400B NXE: 3400C NXE: 3600D NXE: 3600D NXE: 3800E NXE: 3800E NXE: 3800E NXE: 4000F *wph = 每小时晶圆数 Public


LOGO

ASML 将通过增加出货量和系统生产率来满足 EUV 需求幻灯片 9 2021 年 9 月 29 日 800% 实际值 今日路线图(目标)700% 年度 [#]Fab 型号:EUV 估计 (3600D) wph]x 晶圆厂容量每晶圆厂每年 600% 的系统内存暴露量 (kwspm*) wph][单位数[ 45 10-20 9-18 Logic 将 500% 暴露的内存容量 400% 100 1-6 2-9 穿透晶片 300% 晶圆入口/容量欧盟 EUV Shipm System 200% 100% * Logic Total 2018 2019 2021 2023 2025 NXE: 3400B NXE: 3400C NXE: 3600D NXE: 3800E NXE: 3800E NXE: 3800E NXE: 3800E: 4000F * 2018 参考文献 = 100% 公开


LOGO

高于先前预期的DRAM采用率将是EUV需求的另一个驱动力,幻灯片10,9月29日。 2021 800% 今日实际值 700% 年内存每 600% 暴露 400% 晶片 300% EUV 200% 逻辑总计 100% 2018 2019 2020 2021 2022 2023 2024 2025 公开


LOGO

EUV 减少了光刻和工艺步骤,从而显著减少了缺陷、成本和周期 Slide 11 年 9 月 29 日没有 EUV (0.33 NA) 关键总计 120% 光刻掩模工艺步骤 # 工艺步骤无 EUV 没有 EUV 100% 没有 EUV (0.33 NA) EUV 80% (0.33 NA) 向客户提供 40% 无极紫外线缺陷 20% 基于 EUV 的成本 降低 0% 缩短周期节点 (DRAM) 公共


LOGO

三星报告称,与多图案浸入 (ARFi) 相比,EUV 引入时的缺陷减少了 20% 以上 2021 年 9 月 29 日幻灯片消除了与多图案相关的缺陷缺陷比图案化 ArFi MPT EUV 套装> 20% DRAM d1xBLP [%]SEM 图像比例缺陷提高图案精度和成本 BLP ArFi MPT EUV SET ArFi EUV 减少步数和 累积缺陷来源:三星投资者论坛,2020 年 11 月公开


LOGO

EUV 已投入生产 Logic 和 DRAM,预计其采用率将继续增长 EUV 扩展 和 EUV 0.55 NA 的引入预计将延续 EUV 的价值延续到未来十年。我们的 EUV 产品和服务价值的增加预计将继续推动盈利能力


LOGO

预计 ASML 将继续按照客户要求的速度扩展 DUV 和 EUV 平台幻灯片 14 2021 年 9 月 29 日 mWalvength NA,Half pitch 2021 2022 2023 2024 ³ 2025 DUV nxt: 2000i nxt: 2050i nxt: 2100i NEXT 1.35 NA,38 nm 2.0 nm | 275wph 1.5 nm | 295wph 1.3 nm | 295wph (ArFi) NXE: 3400D NXE: 3800E NXE: 4000F EUV 0.33 NA,13 nm 2203 3 1.5 nm | 135 wph /145wph 1.1 nm | 160wph 195wph /220wph 晶片/小时 (wph) 基于 30mj/cm² 1) 185wph @20mJ /cm² 2) 170wph @20mJ /cm² 3) 吞吐量升级产品:配套机器叠加层 (nm) |吞吐量 (wph) 产品状态已发布开发定义


LOGO

预计EUV 0.55 NA将添加到EUV产品组合中,以支持2025年2026年的大批量生产。幻灯片 15 2021 年 9 月 29 日\ 波长 NA,2020年 2021 年 2021 年 2022 年 2023 年 2024 年 ³2025 NXE: 3400C NXE: 3600D NXE: 3800E NXE: 4000F 0.33 NA,13 nm 2 3 220wph 1.5 nm | 135 wph /145wph 1.1 nm | 160wph 195wph /220wph 抢先体验客户 EUV 客户计时 0.55 NA ASML 研发 HVM EXE: 5000 EXE: 5200 0.0 55 NA,8 nm 1 位于 ASML 晶圆厂


LOGO

EUV 0.55 NA 预计将在 2025 2026 年前再次减少 Logic 和 DRAM 的光刻和处理步骤幻灯片 16 年 9 月 29 日关键光刻掩模具对客户的总工艺步骤 EUV 价值 120% EUV EUV 减少缺陷无 EUV (0.33 NA) 100% EUV EUV 成本降低 (0.33 NA) EUV 80% V (0.55 NA) (0.33 NA) 缩短 周期时间 60% m 40% 20% 没有 EUV 0% EUV (0.33 NA) EUV (0.55 NA) 2021 2025-2026 2026 2025-2026 DRAM 预期 DRAM 预期公众


LOGO

EUV 0.55 NA 是 EUV 技术的进化步骤,我们有光源,我们开发了新的光学元件 2021 年 9 月 17 日幻灯片 NXT EUV 0.33 NA EUV 0.55 NA 系统将平台更改为平台高 193 纳米深紫外光 13.5 nm EUV 光源许多现有更改技术来源:不同的接口低高大气条件真空 状态真空状态许多现有扫描仪更换技术更高的加速/速度阶段低高透射光学反射光学反射光学反射光学许多带光学元件的变形反射镜新光学元件更改的准确度更低 Public


LOGO

预计我们的 EUV 0.55 NA 平台有很大一部分将与我们的 EUV 0.33 NA 共用,从而降低引入 风险、成本和研发幻灯片 18 年 9 月 29 日 2021 年 9 月 29 日分光罩处理标线舞台投影光学盒照明源晶圆处理晶圆舞台驱动激光器特定的普通公众


LOGO

EUV 光学投影镜 ASML 和蔡司在镜面设计和独特的计量系统方面的合作幻灯片 2021 年 9 月 29 日 EUV 0.55 NA 镜面计量在蔡司公共场所全面投入使用


LOGO

EUV 光学投影镜尺寸和精度空前的反射镜幻灯片 2021 年 9 月 29 日 EUV 0.55 NA 光学:直径 1 米,精度为晚上 20 点如果你要将这些镜子放大到地球的大小,最大的像差将是人发的直径大小公共


LOGO

EUV 0.55 NA 的整合设施正在建设中幻灯片 2021 年 9 月 29 日洁净室,Fab ASML Veldhoven Public


LOGO

EUV 0.55 NA 的制造业有望通过整合 4 来提高质量和周期时间 2021 年 9 月 22 日幻灯片 2021 年 9 月 29 日预审模块:RETICLE 预认证 预审模块:光学模块:SOURCE 预认证模块:ASML 目前正在对所有 4 个通过资格预审的模块进行晶圆集成 Fracle Optics 晶圆源源顶框、威尔顿集成、奥伯科琴地铁框架、维尔德霍芬光学平台、圣地亚哥驱动激光器、费尔德霍芬船只、圣地亚哥公共


LOGO

EUV 已投入生产 Logic 和 DRAM,预计其采用率将继续增长 EUV 扩展 和 EUV 0.55 NA 的引入预计将延续 EUV 的价值延续到未来十年。我们的 EUV 产品和服务价值的增加预计将继续推动盈利能力


LOGO

EUV 产品和服务价值的提高,加上第 24 张幻灯片成本的降低,预计将提高 的盈利能力 2021 年 9 月 29 日 400% 今日实际情况路线图 300% 每个 gput 200% 2 nm 晶圆每天 300% **[nm]throu rlay 平均茎干 100% 1 nm Ove Sy 2018 2019 2021 2022 2024 2024 2025 NXE: 3400B NXE: 3400C NXE: 3600D NXE: 3600D NXE: 3800E NXE: 3800E NXE: 4000F* 标准化于 2018 年,基于 30 mJ Public


LOGO

EUV 产品和商业机会关键信息 2021 年 9 月 25 日幻灯片 ASML EUV 光刻技术通过改善光刻分辨率、最先进的叠加性能和逐年降低成本来扩展我们的 逻辑和 DRAM 客户路线图。我们的客户将在 Logic 节点中使用 EUV,并于 2021 年开始在 DRAM 节点中使用 EUV。EUV layers 的采用率持续增长,以降低模式化复杂性和成本 ASML EUV 能力提升与其生产力路线图相结合,预计将支持未来几年客户激增的需求 EUV 产品路线图预计将扩展我们的 EUV 平台并同时推出 EUV 0.55 NA 平台,为客户提供全面灵活的解决方案,我们预计将在未来十年继续提高 EUV 的盈利能力 通过组合执行我们的价值所花费的时间完善产品路线图和雄心勃勃的系统和服务成本降低路线图公开


LOGO

前瞻性陈述幻灯片 2021 年 9 月 29 日本演示文稿包含 前瞻性陈述,包括有关预期的行业和商业环境趋势的陈述,包括预期增长、前景和预期财务业绩,包括预期的净销售额、毛利率、研发成本、销售和收购成本和 有效税率、2025 年的年度收入机会、2025 年的财务模型以及假设和预期增长率及驱动因素、包括2020-2025年和2020-2030年增长率在内的预期增长,总计稳定的市场,2025 年以后的增长机会 以及光刻、计量和检测系统的预期年增长率以及装机群管理的预期年增长率、到 2030 年的潜在市场的预期趋势、逻辑和内存 收入机会的预期趋势、长期增长机会和展望、需求和需求驱动因素的预期趋势、系统和应用的预期收益和性能、半导体终端市场趋势、包括预期需求在内的半导体 行业的预期增长成长和未来几年的资本支出、预期的晶圆需求增长和晶圆产能投资、预期的光刻市场需求和增长与支出、增长机会和驱动力、EUV 和 DUV 需求、销售、前景、路线图、机会和产能增长的预期趋势 以及预期的EUV采用率、盈利能力、可用性、生产率和产量以及估计的晶圆需求和价值改善、 应用业务的预期趋势、已安装基础管理的预期趋势,包括预期收入和目标利润率,应用程序业务的预期趋势和增长机会,对 的预期High-na,对产能的提高、计划、战略和战略优先事项及方向的预期,增加产能、产量和产量以满足需求的预期,对 摩尔定律继续发展的期望,以及摩尔定律的演变、产品、技术和客户路线图,以及有关资本配置政策、分红和股票回购的声明和意向,包括打算继续 通过股票收购组合向股东返还大量现金的意图回报并按年计增长有关ESG承诺、可持续发展战略、目标、举措和里程碑的分红和声明。 通常可以使用诸如可能、将、可能、应该、预测、相信、预期、计划、 估计、预测、潜力、打算、继续、目标、未来、进展、目标以及这些词语或可比词语的变体等词语来识别这些陈述。这些 陈述不是历史事实,而是基于当前对我们业务和未来财务业绩的预期、估计、假设和预测,读者不应过分依赖它们。前瞻性 陈述不能保证未来的表现,涉及许多已知和未知的重大风险和不确定性。这些风险和不确定性包括但不限于经济状况;产品需求和半导体设备 行业产能、全球半导体需求和制造产能利用率、半导体终端市场趋势、总体经济状况对消费者信心和对 客户产品需求的影响、我们系统的性能、COVID-19 疫情以及为遏制疫情而采取的措施对全球经济和金融市场以及 ASML 及其客户的影响 和供应商和其他可能影响ASML销售额和毛利率的因素,包括客户需求和ASML获得产品供应的能力、研发计划和技术进步的成功、新 产品开发的步伐和客户对新产品的接受和需求、产能和我们提高满足需求的能力的能力、订购、发货和确认收入的系统的数量和时间以及订单 取消或推迟生产的风险我们系统的容量,包括系统延迟的风险生产和供应链能力、限制、短缺和中断、半导体行业的趋势、我们执行专利 和保护知识产权的能力以及知识产权争议和诉讼的结果、原材料、关键制造设备和合格员工的可用性以及劳动力市场的趋势、地缘政治因素、 贸易环境;进出口和国家安全法规和命令及其对我们的影响、实现可持续发展目标的能力、汇率和税收的变化利率、可用流动性和流动性需求、我们 债务再融资的能力、可用现金和可分配储备金以及其他影响股息支付和股票回购的因素、股票回购计划的结果以及截至2020年12月31日止年度的ASML 20-F表年度报告以及向美国证券交易委员会提交和提交的其他文件中列出的风险因素中指出的其他风险。这些前瞻性陈述仅在本文件发布之日 作出。除非法律要求,否则我们没有义务在本报告发布之日之后更新任何前瞻性陈述,也没有义务使此类陈述与实际业绩或修订后的预期保持一致。公开


LOGO

ASML 闲聊 2021 年投资者日虚拟版