附录 99.3

LOGO

推动发展的技术战略
摩尔定律成为
下一个十年
马丁·范登布林克
总统和
首席技术官


LOGO

技术策略
整体光刻路线图由我们的独特之处驱动
关键信息 通过Slide 2为客户带来价值的模式化控制解决方案
2021 年 9 月 29 日
提高了产品性能。
摩尔定律还活得很好!行业 创新 ASML 的全面产品组合符合我们的
继续,在系统扩展的推动下,提供高价值 的客户路线图,提供具有成本效益的支持解决方案
半导体产品。在所有 个应用中,从前沿节点到成熟节点
半导体系统扩展实现指数级增长我们的下一代 EUV 技术, 高 nA,正在取得进展
性能改善和能耗降低,以支持 well ,并将成为推动光刻路线图进入的引擎
数据交换的显著增长。未来十年
客户路线图需要持续缩减规模,而持续执行我们的战略优先事项有望实现
减少边缘布局误差以实现可承受的扩展,为我们的客户提供具有成本效益的解决方案,使
进入下一个十年。将行业路线图延长到下一个十年,以及
支持我们的长期可持续发展承诺
2


LOGO

摩尔定律的演变和客户路线图
ASML 的战略优先事项
3


LOGO

我们面前的重大逻辑设备创新即将到来
扩展路线图继续扩展到 1 nm 及以上幻灯片 4
2021 年 9 月 29 日
3 nm 2 nm1,5 nm1 nm1 nm 及以上
PP: 44-48,国会议员:21-24 PP:40-44,MP:18-21PP: 40-44,MP:18-21PP:38-42,国会议员:15-18
BPR BPR
FinFET 纳米片、BPR Forksheets、VHV 标准细胞拱门。CFET,带气隙的 BEOL 2D 原子通道
5T 5T 地埋式电力导轨 (BPR) 纳米片材叉片金属蚀刻带气隙金属蚀刻带气隙
PP:多晶间距 (nm)
MP:密集金属间距 (nm)
VHV:垂直-水平-垂直-垂直 CFET:互补场效应
资料来源:IMEC,Sri Samavedam, 未来逻辑扩展:迈向原子通道和解构芯片,IEDM,2020年12月。公开
4


LOGO

创新不仅限于设备级别
台积电通向超过 300 B 晶体管的系统路线图幻灯片 5
9 月 29 日 2021
魔兽世界:晶圆上的晶圆 CowOS:基板上晶圆上的芯片 HBM:3D 高速存储器 RDL:Re 分布层
SOC:片上系统 CoW:晶圆上芯片 FPGA:现场可编程网格阵列信息:集成 扇出 SoIc:采用 集成芯片的系统
> 300 btsmcsoicíi
晶体管
Info 150B
晶体管
CowOS
>50 B
15B 晶体管
晶体管
7B
晶体管
200 MOS 晶体管
几个晶体管
3D FinFET 新通道材料
HKMG 2P2EEUV
浸入式 ElkMetal 氧化物 ESL
SiGe Low-R Barrier 自校准线,空间灵活
cu/Lowk Co Capliner 低 伤害/硬化 low-k 和新颖的 Cu 填充物
来源:Mark Liu,台积电,《释放创新的未来》ISSCC, 2021 年 2 月 15 日公开
5


LOGO

创新不仅限于设备级别
台积电通向超过 300 B 晶体管的系统路线图幻灯片 6
9 月 29 日 2021
魔兽世界:晶圆上的晶圆 CowOS:基板上晶圆上的芯片 HBM:3D 高速存储器 RDL:Re 分布层
SOC:片上系统 CoW:晶圆上芯片 FPGA:现场可编程网格阵列信息:集成 扇出 SoIc:采用 集成芯片的系统
设备扩展(包括铸造供应链)tsmcSoicí
电路扩展(包括铸造厂客户)
维度缩放 (包括光刻供应链)信息
铸造厂客户的架构扩展
CowOS
芯片等级接近于
系统级别
3D FinFET 新通道材料
HKMG 2P2EEUV
浸入式 ElkMetal 氧化物 ESL
SiGe Low-R 屏障自对齐线路带灵活空间
cu/Lowk Co Capliner 低损坏/硬化 low-k 和新颖的 Cu 填充物
来源:刘国强,台积电,《释放创新的未来》ISSCC,2021年2月15日公开
6


LOGO

摩尔定律的演变:未来十年
自 2005 年以来,时钟频率等传统缩放指标已经饱和。幻灯片 7
2021 年 9 月 29 日
公共数据客户猜测
1020 投影
Dennard Post Dennard
1018 缩放比例
1016
1014
1012
1010
108
106
104 时钟频率1
[兆赫]
102
1
1970 1980 19902000201020202030
来源:¹Karl Rupp 发布者:Shekar Bokar,高通公司,《计算的未来》 所谓的后摩尔法时代, 国际会议
用于高性能计算、网络存储和分析,2020 年 11 月 18 日。 公开
7


LOGO

摩尔定律的演变:未来十年
在这十年中,晶体管和光刻密度的缩放指标仍在继续。幻灯片 8
2021 年 9 月 29 日
公共数据客户猜测
1020 投影
DennardPost Dennard
1018 缩放/缩放
1016
1014
1012
1010 晶体管密度2
[#/mm2]
108 设备和布局
优化光刻密度2
106(联系人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 时钟频率1
[兆赫]
102
1
1970 1980 19902000201020202030
来源:¹Karl Rupp 2ASML 数据和使用 Rupp Public 的投影
8


LOGO

摩尔定律的演变:未来十年
综合测量能量和时间效率的系统指标幻灯片 9
2021 年 9 月 29 日
定义为 的系统和设备的节能性能
[1/j.s]
如果应用于单台设备:
EEP = fc /e
fc = 时钟频率 [s-1]
e = 晶体管开关能量 [J]
使用 Dennard¹ 缩放模型,当维度 的缩放比例为 k-1,以 k 为单位的频率,
区域有 k-² 和功率密度常数, 如下:
EEP 设备端级别可通过 k4 进行扩展
如果密度(~k2)每 2 年增加 2 倍,那么 EEP(~k4)每 2 年增加 4 倍
1 资料来源:Robert H. Dennard 等人。物理尺寸非常小的离子注入 MOSFET 的设计,《IEEE 固态电路杂志》,第 SC 卷,1973 年 10 月,pp。 256-268。公开
9


LOGO

摩尔定律的演变:未来十年
自 2005 年以来,设备节能性能增长一直处于饱和状态幻灯片 10
2021 年 9 月 29 日
公共数据客户猜测
1020 投影
DennardPost 丹纳德系统能源
1018 扩展/扩展高效性能3
[1/j.s]
1016 从晶体管到
系统扩展
1014 晶体管能量
高效性能2
1012 [[1/j.s]
1010 晶体管密度2
[#/mm2]
108 设备和布局
优化光刻密度2
106(联系人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 时钟频率1
[兆赫]
102
1
1970 1980 199020002010202020302040
来源:¹Karl Rupp,2 ASML 数据和使用 Rupp Public 进行的预测
10


LOGO

摩尔定律的演变:未来十年
系统节能性能持续3年/2年增长至2040年幻灯片 11
2021 年 9 月 29 日
资料来源:台积电,刘国强,《释放创新的未来》ISSCC,2021年2月 15日。公开
11


LOGO

摩尔定律的演变:未来十年
从每个晶体管的成本到密度,再到系统的时间和能源成本幻灯片 12
2021 年 9 月 29 日
1020 Energy
1018 Performance3
1016
1014 or Energy
性能2
1012
1010 tor density2
108 设备和布局
优化光刻密度2
106(联系人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 时钟频率1
[兆赫]
102
1
1970 1980 199020002010202020302040
资料来源:¹Karl Rupp,2ASML 数据和使用 Rupp 的投影,3Mark Liu,台积电,在 2005 年标准化为晶体管 EEP。公开
12


LOGO

摩尔定律的演变:未来十年
扩展系统以满足性能和能耗需求幻灯片 13
2021 年 9 月 29 日
1020
系统改进系统系统能量
高效性能3
1018 以晶体管缩放为主 [1/j.s]
1016 从晶体管到
系统扩展
1014 晶体管能量
高效性能2
1012 [[1/j.s]
1010 晶体管密度2
[#/mm2]
108 设备和布局
优化光刻密度2
106(联系人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 时钟频率1
[兆赫]
102
1
1970 1980 199020002010202020302040
资料来源:¹Karl Rupp,2ASML 数据 和使用 Rupp 的投影,3Mark Liu,台积电,在 2005 年标准化为晶体管 EEP。公开
13


LOGO

AMD 3D 芯片组提供了 3.1-3.8 深度改进
通过将内存与处理器集成在一个封装中幻灯片 14
2021 年 9 月 29 日
功率降低 3 倍,
速度提高了 4-25%
结构硅
64MB 三级缓存 die
直接 铜转铜债券
硅通孔 (TSV) 用于
硅到硅沟通
最多 8 核 Zen 3 CCD
加速生态系统,计算
14


LOGO

摩尔定律的演变:未来十年
扩展系统以满足性能和能耗需求幻灯片 15
2021 年 9 月 29 日
公共数据客户猜测
1020 投影
系统改进系统 系统能量
高效性能3
1018 以 晶体管缩放为主 [1/j.s]
1016 从晶体管到
系统扩展
1014 晶体管能量
高效性能2
1012 [[1/j.s]
1010 晶体管密度2
[#/mm2]
108 设备和布局
优化光刻密度2
106(联系人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 时钟频率1
[兆赫]
102
1
1970 1980 199020002010202020302040
资料来源:¹Karl Rupp,2ASML 数据和使用 Rupp 的投影,3Mark Liu,台积电,在 2005 年标准化为晶体管 EEP。公开
15


LOGO

在这十年中,光刻密度扩展仍在继续
叠加和光学近距离校正误差急剧缩小幻灯片 16
2021 年 9 月 29 日
每 6 年 2 次
资料来源:根据ASML推断得出的平均客户路线图2021年5月,根据2020年IRDS路线图的平均值穆斯塔法·巴达罗格鲁,
IRDS IFT More Moore 春季会议,IEEE,2020 年 4 月 21 日公开
16


LOGO

未来十年的内存路线图
DRAM 扩展到 10 nm 以下,NAND 堆叠继续大于 600 层幻灯片 17
2021 年 9 月 29 日
动态随机存取信息
10 年后 nowChallenge1y 1z 1a1b1c1d0a
NAND
10 年后 nowChallenge>600 Layers
96 128 1762xx3xx4xx5xx6xx
资料来源:Sk hynix、S.H.Lee、Memorys 走向未来 ITC 世界的旅程、IEEE IRPS 2021 年 3 月 21 日公开
17


LOGO

通过技术投射光刻层
幻灯片 18
2021 年 9 月 29 日
KrF
逻辑层堆栈
5 nm 3 nm 2 nm~1.5 nm1 nm1 nm
KrF
DRAM EUV 高钠
第 层堆栈
1A 1B 1C0A0BEUV
ArFi
ArF
kRf
KrF I-Line
三维NAND 层堆栈
176L 2xxL 3xxl4xxl5xxL
2021 ~2030
资料来源:ASML 企业战略与营销 估计
18


LOGO

通过技术投射光刻层
在 DUV 和 EUV 幻灯片 19 的推动下,光刻层数增加
9 月 29 日 2021
KrF
逻辑层堆栈
5 nm 3 nm 2 nm~1.5 nm1 nm1 nm
KrF
DRAM EUV 高钠
第 层堆栈
1A 1B 1C0A0BEUV
DUV
KrF
3D NAND Layer 堆栈
176L 2xxL 3xxl4xxl5xxL
2021 ~2030
来源:ASML 企业战略和市场营销估算公开
19


LOGO

半导体和收缩路线图:未来几十年
幻灯片 20
2021 年 9 月 29 日
在接下来的十年中,系统扩展将继续推动需求
10âµ
1962 年的 个先进的半导体解决方案中,光刻会缩水
组件 10á' 仍然是提高电路密度和成本的关键。
每 1965 年
成本 10³
10² 1970
制造 对 ASML 的影响
相对的 10 缩减路线图需要创新来改善光刻
1、以更低的成本和更高的生产率获得性能。
1 10 10² 10³10â´ 10âµ
每个集成电路的组件数量
我们继续通过发展信任来捍卫我们的 方法
与客户的关系,提供更强大的整体产品。
20


LOGO

摩尔定律的演变和客户路线图
ASML 的战略优先事项
21


LOGO

ASML 的战略优先事项
幻灯片 22
2021 年 9 月 29 日
加强 ¿增强执行能力,以提供性能和成本
客户信任和对客户需求的稳健性
Holistic litho 和 á在边缘放置错误方面保持领先地位
应用程序
DUV á提高 DUV 的性能和市场份额
竞争力
EUV
工业化 ¿EUV 大批量生产性能、斜坡和支持
High-na á为未来的节点启用光刻简化功能
公众
22


LOGO

我们的整体投资组合比以往任何时候都更加重要
带幻灯片 23 的光刻扫描仪
2021 年 9 月 29 日
高级控制能力
蚀刻和
沉积工具
处理窗口处理窗口
预测和控制
增强
光学近距离校正 IeldStar 电子光束
计算光刻光学计量学
计算 计量学 电子束计量学
电子束检测
处理窗口
检测
公众
23


LOGO

我们的整体投资组合比以往任何时候都更加重要
幻灯片 24
2021 年 9 月 29 日
EUV DUV
高 nA
应用程序
公众
24


LOGO

应用:战略方向
为光学和光学提供领先的解决方案 电子束计量和检测幻灯片 25
应用程序 2021 年 9 月 29 日
客户价值 ASML 应用程序 产品路线图
捕获更多晶圆特征以提高生产力
提高耐用性 晶圆上过程控制稳健的校准方案
纳米
更严格的处理能力 单光束分辨率和应用
3¿ 6 西格玛控制边缘放置误差控制
自由格式 OPC 和机器学习
优质晶片捕获小 缺陷以获得多波束分辨率
高级节点的每日产量计算引导检测
单位成本
在固定的 生产率/多波束条件下进行更多测量
计量和检验预算 电子束平台整合
OPC 精度、速度和用户友好性
更快 找到解决方案的时间到了
是时候实现收益了 单一过程控制平台和分析
公众
25


LOGO

电子束检测具有固有的分辨率 优势
使用多波束幻灯片 26 增加并行度来提高吞吐量
应用程序 2021 年 9 月 29 日
1000000 最小缺陷大小
2 nm 及以下节点
100000 光学
光明的领域
10000 检查
1000 Gen 3 Multibeam (~2028)
增加了 100
[mm²/小时]吞吐量
启用
另外 10 个 HvmGen 2 Multibeam(约 2024 年)
gput 应用程序
Throu 1
0.1 第 1 代 Multibeam (2021)
0.01 正在扫描
电子
显微镜
0.001 ImageSingle 电子光束(研发)
0.0001
60 40201086421
缺陷大小 [nm]公众
26


LOGO

计量、检验和模式化控制路线图
幻灯片 27
应用程序 2021 年 9 月 29 日
2020 2021 202220232024³ 2025
扫描仪接口
and Control 软件增加扫描仪驱动力(DUV 和 EUV)、EPE 控制
叠加计量快速阶段、多 波长、计算计量学、
YieldStar 设备内计量
e-beam ep5 0.1nm 精度、12umSingleField of viw、Beam9K V HLE(可选)高分辨率、largeeep6FieldHigh r 精度、视野、高 r 分辨率 ep7 下一代
计量学大规模计量学、EPE Metrologyep7xle
ep5xS 18.5keV 着陆能量 ep5xLE 30keV 着陆能量
50keV 着陆 能量
电子束缺陷
多波束检测、快速精确的阶段、高着陆能量、引导式检测
计算提高了模型精度,逆向 OPC,
光刻机和深度学习、蚀刻模型
公众
27


LOGO

下一篇:2050i 正在向客户批量生产
DUV 叠加效果提高 20%,可靠性和生产率更高 向上滑动幻灯片 28
2021 年 9 月 29 日
nxt: 2050i
配套机器专用卡盘
叠加层 ~1.2 nmoverlay ~0.8 nm
18 天内每天 6000 个 5,000 个晶片 200180 小时在 13 周内保持可靠性
180
5000 nxt: 2050i 160nxt: 2050I
更快的斜坡 140更高的可用性
4000
120
每天(小时)
3000 100
Wafers MTBI 80
2000
60
40
1000
20
0 0
1 2 3 4 5 6 7 8 10 11 12 13 14 16 17 18 19 20 21 22 23 nxt: 2000i1 2 3 4 5 6 7 8 9 1011 12 13 14 15 16 1718 19
完成安装后的天数完成安装后的几周
nxt: 2050iPublic
28


LOGO

DUV:战略方向
DUV 为高级功能和更高生产率提供领先的解决方案幻灯片 29
2021 年 9 月 29 日
客户价值 ASML DUV 产品路线图
叠加改善叠加(稳定性),尤其是 nxt: 2100i,改进了光学和校准
用于与 EUV 相匹配
在 ,每天生产更多优质晶圆 通过以下方式提高浸入式生产率
可用性降低每片晶圆的成本更高的扫描速度
用于干式光刻的 XT 到 NXT 过渡
已安装 基础为已安装群提供具有成本竞争力的生产力增强包服务
整个产品生命周期 Value 增加了服务解决方案,提高了可用性
节点性能
新 markets Mature XT 平台的生产力和叠加性能以及特定应用选项
特定应用 为成熟市场扩展 i 系列产品组合(>40 纳米)
晶圆厂更换解决方案
循环可持续产品和服务产品系统节点扩展包路线图
经济优化 重复使用以确保具有成本竞争力的供应
公众
29


LOGO

支持所有细分市场的 DUV 产品组合
DUV 幻灯片 30
2021 年 9 月 29 日
长度 NA,半场 20202022022202220242025
在高级 nxt: 2050i NXT 平台上继续 Innovationnxt: 2000i,以提高 nxt: 2100i 成像、叠加效果和生产力下一页
关键的
ArFi 1.35 NA,38 nm2.0 nm | 275wph1.5 nm | 295wph1.3 nm | 295wph
Leveragemidc itical of nxt: 1980DI 高级 NXT 平台 fornxt: 1980EI 提高了工作效率 nxt: 1980Fi
2.5 nm | 275wph2.5 nm | 295wph2.5 nm | 330wph
XTXT: 1460K
arF 0.93 na,57MigrateNM 升级到高级 5 nm | 205wph nxTor 平台 7.5 nm| 228wph 可改善成像、叠加效果和工作效率
NXT: 1470NEXT
NXT4 nm | 300wph
0.93 NA,80productivitynmxt: XT 平台上涨了 1060K xt: 1060K + PEP
5 nm | 205wph5 nm | 220wph
KrF XTXT: 860MXT: 860N
生产率提高 7% nm** | 240 on-250wpHxt 平台 7.5 nm | 260wph
0.80 NA,110 nm
迁移到高级 NXT 平台以获得 性能xt: 870 和工作效率下一页
NXT7.5 nm | 330wph
i-line 0.65 na,220productivitynmxt: 400L 在 XT 平台上有所提高,并迁移到下一个系统 xt: 400m 以供大批量应用程序使用 NEXT: 400M 下一页
20 nm** | 230wph20 nm** | 250wph
27%34%30%
66%70%
公众
30


LOGO

EUV 0.33 NA 的采用得益于平台的成熟度
EUV 大批量制造幻灯片 31
2021 年 9 月 29 日
100%
3000 系统输出
每天最大晶片(单系统,每周平均值)95%
2500 90%
已安装基础系统的可用性
第 4 天移动 平均值(期末)85%
2000
每 80%
1500 75% 的可用性
Wafers 70%
1000 65%
60%
500
55%
0 50%
2017 2018 201920202021
ASML 的承诺预计将使 EUV 的可用性超过 95%
并到 2025 年将晶圆的每日产量提高到 50%
来源:ASML 安装基础数据公开
31


LOGO

EUV:战略方向
EUV 为高级节点提供经济高效的扩展幻灯片 32
2021 年 9 月 29 日
利用 EUV ASML EUV 产品改进为客户创造价值
更好的设备性能:更简单的技术路线图:每个节点(分辨率),提高
设计和卓越的电气成像、叠加和缺陷率(光罩和晶片)
纳米(性能等级)
减少了满足 fab 容量所需的工具
由于随着时间的推移,吞吐量更高生产率路线图:提高生产力
生产率提高到 >200wph,可用性提高到 97%
与关注改进子系统相比,关键层可节省模式化成本 :
替代方案(3 倍 ArFi 沉浸感和
以上)
好晶圆来源 (在线补充、更高功率、高反射镜)
每天按成本计算由于减少了多个 反射镜(镜子加热测量、冷却反射镜),产量更高
图案层(最多 9%)
舞台和标线(十字线加热,高精度、快速)
阶段,薄膜耐久性)
降低了工艺复杂性,从而实现了校准(# 标记、标记尺寸、晶圆夹牢固性)
循环时间和更短的学习周期以及更快的学习周期 Time-to-
上市时间收益率
公众
32


LOGO

高 nA 可防止周期时间和过程复杂性增加
EUV 就像低 NA 一样用于浸入幻灯片 33
9 月 29 日 2021
5 首选插入
EUVEUV
娜娜
3 --
u. ) 0.330.55
(a. 受抚养人) DUVEUV-0.33NAEUV-0.55NA
复杂性循环时间(乘积 3)
0.33-NA 插入支持单高 NA 插入机会
流程步骤、模式化以缩短循环时间继续摩尔定律
周期时间增加的掩码损失
2
备选替代方案
拟议基线拟议基线
1
10 1001,000
16nm 10nm 7nm5nm3nm2nm
晶体管密度 [mtr/mm²]节点 (等效的节点名称) [nm]
注意:假设每个掩码层 1.2 天 Public
33


LOGO

High-na EUV:战略方向
EUV 为下一代高级节点提供经济高效的扩展幻灯片 34
2021 年 9 月 29 日
客户价值 High-na EUV ASML 高 nA EUV 产品改进
0.55 NA 支持 1.7 倍小 的功能
并将密度提高2.9倍技术路线图:每节点(分辨率),提高
纳米成像、叠加和缺陷率(光罩和晶片)
级别)
更高的成像对比度使得 40%
随着时间的推移, 本地 CDU 生产力路线图的改进:提高生产力
性能将模式变异性 降低了 1.4 倍,达到 1.4 倍
较低的剂量
成功插入 critial Focus 可节省 15% 的模式化成本
我们的客户 players vs 替代品(2 倍 EUV)
优质晶片与现有 EUV 平台的通用性有待降低
每 天每成本由于减少了多重技术风险、开发成本和交换成本,因此收益率更高
图案层:客户收到的口罩 数量减少 35%
低于 2 nm 的处理节点
重点关注系统成熟度和可维护性以提供支持
降低了流程复杂性 ,使我们的客户对批量性能抱有很高的期望
周期、缩短 15% 的学习周期和更快的 尽早与我们的客户互动有待解决
是时候去 了市场获得收益的时间生态系统就绪
公众
34


LOGO

高 nA EUV 正处于实现阶段
EUV 在多个 ASML 和供应商地点幻灯片 35
2021 年 9 月 29 日
德国奥伯科兴光学系统制造 设施
费尔德霍芬,
荷兰,
系统底部测试
EUV 0.55 NA 光学元件
法国土伦,框架铣削 Wilton,美国,系统顶级测试
公共
35


LOGO

预计EUV 0.55 NA将添加到EUV投资组合中
EUV 在 2025-2026 年实现高成交量,同时继续改进 0.33 NA 平台幻灯片 36
2021 年 9 月 29 日
2020 2021 202220232024³2025
0.33NA 连续成像,nxe: 3600dOverlay 和生产率提高 nxe: 3800E 在 系列 NXE: 4000F
向客户提供 advanced1.1 nmnode| 160HvMwph 要求。195 wph /220wph 220wph
EUV ASMLR&DHVM
0.55NA 可在当前十年之后实现经济实惠的扩展
EXE: 5000EXE: 5000 EXE: 5200
在 ASML FabExe 平台、EUV 0.55 NA NXE 平台、EUV 0.33 NA
公众
36


LOGO

ST 在 EUV、DUV 和 中的通用性高 na 平台
幻灯片 37
TRU 允许更快、更具成本效益的创新、生产和维护 2021 年 9 月 29 日
DUV EUV
对准传感器
常用技术水平传感器
在 两种计量学中均使用
DUV 和 EUV 平台晶圆处理
NXT(干燥 193 nm)
常用技术
用于
DUV 产品:NXT
NXT: 870 NXT: 1470 nxt: 2050I
EUV EUV 高钠
对准传感器源
液位 传感器计量学
通用技术晶圆舞台
在两个 Retricle Stager 中都使用了
EUV 平台晶圆处理
37


LOGO

ST 最大限度地提高客户每天的优质晶圆
幻灯片 38
TRU 接下来是要最大限度地减少系统停机时间 2021 年 9 月 29 日
100% 100%100%
系统停机系统停机服务
根据客户需求
标准化
定义 > 97% 特定流程效率低下
例如,系统停机才能开会
客户规格,图层
系统停机后的资格认证,
缺陷监测及更多 > 90-95%
> 85-90%
系统正常运行时间系统正常运行时间产生
有能力 生产客户晶片
晶片
历史服务模型:新服务模式:
最大限度地提高扫描仪 的可用性每天最大限度地提高优质晶圆
公众
38


LOGO

ST EUV 是最节能的解决方案
幻灯片 39
TRU 我们预计比 替代工艺净节能 45% 以上 2021 年 9 月 29 日
EUV 0.33EUV 0.55
降低电力 降低电力功率
沉浸式体验到 EUV 0.33 的生产力 [wph]220 wph 时 EUV 0.33 至 EUV 0.55
侧壁辅助干燥技术
四极杆 模式化 ArFi
euvlito-etch-Litho-Etch 0.33 NA-46%
100 wph-45% 金属化
metrologyLitho-Etch 0.55 NA
145 wph 沉积
(今天) 05101520
wetTech
220 wph
(2025)
0 5 101520
资料来源:Sri Samavedam a.o.,IMEC,《逻辑扩展的未来:迈向原子通道和解构芯片》,IEDM,2020年12月,由ASML扩展。 公开
39


LOGO

技术策略
整体光刻路线图由我们的独特之处驱动
关键信息 通过Slide 40为客户带来价值的模式化控制解决方案
2021 年 9 月 29 日
提高了产品性能。
摩尔定律还活得很好!行业 创新 ASML 的全面产品组合符合我们的
继续,在系统扩展的推动下,提供高价值 的客户路线图,提供具有成本效益的支持解决方案
半导体产品。在所有 个应用中,从前沿节点到成熟节点
半导体系统扩展实现指数级增长我们的下一代 EUV 技术, 高 nA,正在取得进展
性能改善和能耗降低,以支持 well ,并将成为推动光刻路线图进入的引擎
数据交换的显著增长。未来十年
客户路线图需要持续缩减规模,而持续执行我们的战略优先事项有望实现
减少边缘布局误差以实现可承受的扩展,为我们的客户提供具有成本效益的解决方案,使
进入下一个十年。将行业路线图延长到下一个十年,以及
支持我们的长期可持续发展承诺
40


LOGO

前瞻性陈述
幻灯片 41
2021 年 9 月 29 日
本演示文稿包含前瞻性陈述,包括有关预期的行业和商业环境趋势 的陈述,包括预期增长、前景和预期财务业绩,包括预期的净销售额、毛利率、研发成本、销售和收购成本和有效税率、2025 年的年度收入机会、2025 年的 财务模型以及假设和预期增长率及驱动因素、包括2020-2025年和2020-2030年增长率在内的预期增长、潜在市场总额、2025年以后的增长机会以及预期光刻、计量和检测系统的年增长率 以及安装量管理的预期年增长率、到2030年的潜在市场的预期趋势、逻辑和存储器收入 机会的预期趋势、长期增长机会和展望、需求和需求驱动因素的预期趋势、系统和应用的预期收益和性能、半导体终端市场趋势、 半导体行业的预期增长,包括预期的需求增长和未来的资本支出年份,预期晶圆需求增长和晶圆产能投资、预期的光刻市场需求和增长 和支出、增长机会和驱动力、EUV 和 DUV 需求的预期趋势、销售、前景、路线图、机会和产能增长以及预期的 EUV 采用率、盈利能力、可用性、生产率和产量 以及预计的晶圆需求和价值改善、应用业务的预期趋势、包括预期收入和目标利润率在内的客户群管理的预期趋势,预期 的趋势和增长机会应用程序业务,对以下方面的期望 High-na,对产能增加、计划、战略和战略 优先事项和方向的预期,增加产能、产量和产量以满足需求的期望,对摩尔定律继续发展的期望,以及摩尔定律的演变、产品、技术和客户路线图, 以及有关资本配置政策、分红和股票回购的声明和意向,包括打算继续通过股份组合向股东返还大量现金 回购和年化增长有关ESG承诺、可持续发展战略、目标、举措和里程碑的分红和声明。通常,您可以通过 使用 “可能”、“将”、“应该”、“预测”、“相信”、“预期”、“计划”、“估计”、“预测”、“潜力”、“打算”、“继续”、“目标”、“目标” 等词语或类似词语的变体来识别这些陈述。这些陈述不是 历史事实,而是基于当前对我们业务和未来财务业绩的预期、估计、假设和预测,读者不应过分依赖它们。前瞻性陈述不能保证未来的表现,涉及许多已知和未知的重大风险和不确定性。除 限制外,这些风险和不确定性包括经济状况;产品需求和半导体设备行业产能、半导体的全球需求和制造产能利用率、半导体 终端市场趋势、总体经济状况对消费者信心和客户产品需求的影响、我们系统的性能、COVID-19 疫情和为遏制疫情而采取的措施对全球经济和金融市场以及ASML及其客户和供应商的影响,以及其他可能影响 ASML 销售和毛利率的因素,包括客户需求和ASML获得产品供应的能力、研发计划和技术进步的成功、新产品开发的步伐以及 客户对新产品的接受和需求、产能以及我们提高满足需求能力的能力、订购、发货和确认收入的系统的数量和时间、 以及取消或推送订单的风险我们的系统的生产能力不足,包括系统延迟的风险生产和供应链能力、限制、短缺和中断、 半导体行业的趋势、我们执行专利和保护知识产权的能力以及知识产权争议和诉讼的结果、原材料、关键制造 设备和合格员工的可用性以及劳动力市场的趋势、地缘政治因素、贸易环境;进出口和国家安全法规和命令及其对我们的影响、实现可持续发展 目标的能力、变化交换和税率、可用流动性和流动性要求、我们的债务再融资能力、可用现金和可分配储备金以及影响股息支付和股票回购的其他因素 、股票回购计划的结果以及ASML截至2020年12月31日止年度的 20-F表年度报告以及向美国证券交易委员会提交和提交的其他文件和提交给美国证券交易委员会的其他文件中指出的风险因素中指出的其他风险。这些前瞻性陈述仅在本文件发布之日 作出。除非 法律要求,否则我们没有义务在本报告发布之日之后更新任何前瞻性陈述,也没有义务使此类陈述与实际业绩或修订后的预期保持一致。公开
41


LOGO

ASML 闲聊 2021 42