2021年,与新冠肺炎相关的旅行限制和其他缓解措施在很大程度上继续限制了我们的面对面互动。我们的客户团队迅速适应,引入了替代解决方案,例如更多本地客户之声计划和远程客户访谈。当地客户和支持团队拜访了我们的客户,通过视频采访了他们,然后与ASML的团队分享了反馈。除了有大量观众的现场演示外,我们能够坚持全年定期的互动时间表。另一个有价值的客户反馈工具是我们每两年一次的客户反馈调查,它要求我们的客户对我们的表现进行评级。我们也利用这个机会收集开放的反馈意见。直接评级和坦率的评论为客户的成功和挑战提供了宝贵的洞察力。我们仔细分析每个客户的结果,与客户核对我们获得的洞察力,然后与他们一起制定有针对性的持续改进计划,并考虑到他们的优先事项。这一过程的关键要素是:真正了解客户对我们的需求,确认我们的改进是正确的,并定期向客户通报进展情况。2021年,我们继续部署2020年调查结果确定的改善行动。下一次调查将于2022年9月发出。我们还为自己设定了一个目标,即在大型半导体设备供应商中跻身VLSI前三名。VLSI研究年度客户满意度调查基于三个关键因素对整个半导体行业的供应商表现进行基准:供应商表现、客户服务和产品表现。我们在2021年VLSI研究客户满意度排行榜上升至第二位,该排行榜被评为十大最佳芯片制造设备供应商。我们一直保持着在“大型芯片制造设备供应商”和前三大单项中的地位:“最佳芯片制造设备供应商”、“晶圆到基础芯片制造商”和“晶圆制造设备到专用芯片制造商”。根据我们的业务战略,我们在2021年继续努力确保我们的完整产品组合,这将使我们的公司持续到未来。这包括与我们的客户合作,在大批量制造环境中更多地采用EUV,与我们的客户合作推出EUV 0.55 NA平台,确保我们的产品在成熟市场的安全,并优化我们客户的安装基础。我们的产品组合与行业趋势和客户详细的产品路线图保持一致,这需要光刻解决方案。我们的客户正在通过投资我们的最新技术来显示他们对我们的信任,支持行业驱动力在当前十年之后收缩。


ASML年度报告2021年22我们的产品和服务半导体行业是由可承受的规模(以合适的价格制造更小、更节能的晶体管的能力)推动的。我们的整体光刻产品组合致力于实现远超当前十年的光刻收缩,使我们的客户能够在每片硅片上产生最大价值。从最先进的13.5 nm EUV波长到193 nm、248 nm和365 nm的业界主流DUV波长,我们的整体产品组合为每一种可能的波长提供图案化解决方案。这一全面的产品组合支持整个半导体行业的客户,从大规模生产先进的逻辑和存储芯片,到创造新的“比摩尔更多”的应用或具有成本效益的成熟芯片技术制造。为了确保集成电路上的每个独立图案都能完美连接,我们通过我们的计量和检测系统以及计算光刻解决方案提供先进的工艺控制解决方案。此外,我们还为不断增长的客户群提供一流的客户支持。我们高度差异化的解决方案为我们的客户和ASML提供独特的价值驱动因素,共同努力使经济实惠的收缩持续到下一个十年。极紫外(EUV)光刻系统二十多年前,我们开始开发EUV技术。自开始以来,我们在研发上投入了数十亿美元,收购了Cmer以加速EUV源技术,并帮助解决了几个技术挑战,使我们的客户能够实现大规模制造所需的EUV基础设施。我们通过与客户和供应商密切合作进行创新而取得成功。这部分解释了为什么ASML是世界上唯一的EUV光刻系统制造商。自推出以来,我们安装的EUV到2021年底生产了超过5900万个晶圆,而到2020年底生产了2600万个晶圆。EUV 0.33 NA我们的EUV平台通过提供分辨率改进、最先进的覆盖性能和逐年降低的成本,扩展了我们客户的逻辑和内存路线图。极紫外光刻使用的光波长仅为13.5纳米,数值孔径为0.33。这与先进芯片制造中使用的下一种最先进的光刻解决方案--采用193 nm光的深紫外线(DUV)氟化氩(ARF)光刻相比,波长缩短了近15倍。这使我们的客户可以在一次曝光中使用EUV,而不是通过ARF浸泡来复杂的多个图案化策略,并允许他们进一步缩小微芯片结构。我们的EUV产品路线图旨在推动经济实惠的扩展到2030年及以后。TWINSCAN NXE:3600D是我们最新一代的EUV 0.33 nA光刻系统。它结合了最高的分辨率,与其前身TWINSCAN NXE:3400C相比,生产率提高了15%-20%,覆盖性能提高了约30%,同时还提高了系统可用性。TWINSCAN NXE:3600D EUV 0.55 NA(高NA)经过五年的工程设计,我们已经开始建造下一代EUV光刻系统,与我们目前EUV平台的0.33 NA相比,该系统可以进一步提高分辨率,具有更高的数值孔径(NA)0.55。为了降低技术引进风险和研发成本,EUV 0.55 NA(High-NA)平台最大限度地提高了与EUV 0.33 NA平台的通用性。我们的EUV 0.55 NA系统(称为EXE:5000)的功能可简化未来节点的光刻,提高Logic和DRAM的良率并降低缺陷密度,从而为我们的客户带来可观的好处。凭借其更大的光学元件,它可以以更高的密度打印更小的特征,显著降低客户的图案化成本。与0.33 nA相比,EUV 0.55 nA帮助我们的客户延长了收缩路线图,最大限度地减少了双重或三重图案,从而降低了图案复杂性、降低了缺陷风险和缩短了周期时间。我们相信,这项技术将在未来十年实现可承受的几何扩展,因为EUV 0.55 NA提供了更高的分辨率,与EUV 0.33 NA相比,它能够实现1.7倍的小特征和2.9倍的密度增加。EUV 0.55 NA预计将在2025-2026年进入我们客户的大批量生产。


ASML年度报告2021年23深紫外线(DUV)光刻系统DUV光刻系统是行业的主力。DUV系统支持众多细分市场,目前生产客户设备中的大部分层,并将对未来的设备保持重要地位。我们为半导体行业目前使用的所有DUV波长提供浸入式和干式光刻解决方案-使用365 nm波长的I-line、使用248 nm的KrF和使用193 nm的ARF。这些系统有助于制造广泛的半导体节点和技术,并支持行业的低成本和高能效扩展。我们的DUV浸入式和干式系统在生产率、成像和覆盖性能方面处于行业领先地位,可结合EUV大规模制造最先进的逻辑和存储芯片,同时继续为成熟节点和小批量应用提供价值。浸渍系统ARF浸渍光刻在透镜和晶片之间保持了一层薄薄的水,增加了NA并提高了分辨率以支持进一步收缩。我们的浸渍系统适用于单次曝光和多重图案化光刻,并可与EUV系统无缝结合使用,以打印同一芯片的不同层。TWINSCAN NXT:2050i是我们目前最先进的浸入式系统,正用于5 nm Logic和第四代10 nm DRAM节点的大批量制造。NXT:2050i基于新版本的NXT平台,其中包括在掩模版平台、晶片平台、投影透镜和曝光激光方面的新发展。多亏了这些创新,该系统提供了比其前身更好的覆盖控制和更高的生产率。TWINSCAN NXT:2050i干燥系统并不是芯片上的每一层都一定需要最新和最好的浸入式光刻系统来生产它们。可能会有更复杂的层是使用更先进的光刻系统制作的,但其余的层通常可以使用干式光刻系统等较老的技术进行印刷。我们的干式系统产品组合为我们的客户提供了所有类型波长的更具成本效益的解决方案。TWINSCAN NXT:1470是我们最新的干式ARF光刻系统,提供创纪录的每小时300片晶圆生产率和4 nm覆盖能力。它也是第一个干式NXT系统,建立在我们成功的浸入式平台上,并在匹配的机器覆盖、生产率和制造空间方面提供改进。拥有0.80NA的TWINSCAN XT:860N是我们的新一代KRF系统,支持在110 nm及以下分辨率下大批量生产200 mm和300 mm晶圆。XT:860N配备了新的大范围液位传感器,允许客户测量高拓扑3D NAND晶片,生产率从XT:860M的每小时240片提高到260片。对于更关键的KrF层,0.93NA TWINSCAN XT:1060K是我们最先进的KrF光刻系统,在80 nm及以下的分辨率和覆盖范围内提供同类最佳的分辨率。XT:860N TWINSCAN XT:400L是我们最新的i-line光刻系统,可打印分辨率为220 nm的200 mm和300 mm晶片。在EUV之前,在沉浸之前,甚至在我们的TWINSCAN系统之前,就有了PAS。1991年,也就是公司成立七年后,我们推出了PAS 5500,这被证明是我们的突破性平台。该系统能够极大地减少我们客户的制造时间,其模块化设计使他们能够使用同一系统生产多代先进芯片。


ASML年度报告2021年24我们的翻新产品业务,即成熟的产品和服务(MPS),翻新和升级我们的旧光刻系统,以延长其使用寿命并提供相关服务。MPS的客户基础广泛,在各个市场都很活跃,尤其是在“不止摩尔”领域。ASML系统具有非常长的运行寿命,这往往超出了它们在最初客户中的作用。因此,许多客户能够通过出售不再需要的系统来创造价值。为了支持这种可持续的产品使用,并确保二手系统提供ASML所代表的质量,ASML通过我们的翻新和相关服务积极参与二手系统市场。ASML销售的PAS系统中有90%以上仍在使用中。我们提供PAS 5500和第一代AT、XT和NXT系统的翻新系统。通过我们的翻新和相关服务,我们延长了客户安装基础的寿命,从他们的资本中获得价值,并为可持续的产品使用做出贡献。更多内容请阅读:我们在2021年的表现-环境-循环经济-通过翻新回收成熟的产品。计量和检测系统我们的计量和检测系统使芯片制造商能够测量他们实际打印在晶片上的图案,以确定它们与预期图案的匹配程度。我们的产品组合涵盖了将芯片推向市场的每个阶段,从研发到批量生产,以及制造过程的每个步骤--使他们能够评估整个过程的性能。该系统通过我们的工艺控制解决方案提供创建自动控制回路的速度和精度,优化每次曝光的光刻系统设置,以减少边缘放置误差(EPE),扩大工艺窗口,并在大规模生产中实现最高产量和最佳性能。光学计量我们的YeldStar光学计量解决方案使芯片制造商能够通过快速准确的重叠测量,评估批量生产的晶圆上图案的质量。叠加层,即芯片的一层与前一层对齐的程度,是光刻性能的重要衡量标准,也是EPE的关键贡献。随着微芯片上的结构越来越小,覆盖层和EPE变得越来越重要。YEELDSTAR 385H提供最新的抗蚀剂光刻后(蚀刻前)覆盖和聚焦测量,具有更高的吞吐量和精度。与以前的系统相比,主要的增强包括更快的阶段和更快的波长变化。这使得能够使用多个波长进行高度精确的覆盖测量和工具匹配,而不会影响吞吐量。我们的最新型号是YIELDSTAR 1385H,能够测量蚀刻后器件图案,从而为我们的客户提供更广泛的良率控制能力。与前一型号的YeldStar 1375F相比,YeldStar 1385H具有更高的精确度和约50%的生产率改进能力。YeldStar 1385H是市场上用于快速、准确的器件内覆盖和测量的光学工具,具有一次测量多层的能力,帮助客户通过蚀刻后工艺控制提高产量。YeldStar 1385H电子束测量和检测我们的HMI电子束解决方案允许客户在数十亿个印刷特征中定位和分析单个芯片缺陷,从而扩展了过程控制的可能性。从历史上看,电子束解决方案太慢,无法监控批量生产过程。然而,ASML在增加电子束系统吞吐量的各种方法方面取得了进展。凭借被广泛采用的单波束平台,ASML继续扩大在电压对比检测和物理缺陷检测方面的市场领先地位。ESCAN 430是我们最新的单光束检测系统,在逻辑、DRAM和3D NAND等各种应用中提供超过35%的吞吐量提升。我们的高分辨率电子束测量系统eP5提供世界级的1 nm分辨率和大视场能力,速度是现有技术的10倍以上。它输出大容量的关键尺寸(CD)和边缘放置误差(EPE)数据,并具有客户监控所需的质量级别。随着设计规则的缩小和EUV光刻技术的采用,EPE对器件图案化和成品率变得更加关键。我们还在eP5上发布了EPE计量应用软件产品。它能够在设备上进行局部和全局EPE测量,包括层内和层间。


ASML年报2021年25一年前,我们推出了突破性的多光束检测工具HMI escan 1000,具有3x3图像,但我们的创新并未停止。我们将下一代HMI ESCAN 1100添加到我们的产品组合中。通过5x5的图像,它展示了成功的多光束操作,同时用25束扫描。5x5系统在检测电压对比度缺陷和物理缺陷方面具有更高的灵敏度,同时显著增加了检测吞吐量。在这个阶段,我们的客户正在评估我们的多波束系统。计算光刻我们的计算光刻解决方案用于新芯片的开发,以优化掩模图案和光刻系统的设置,以确保提供高良率的坚固、可制造的设计。计算光刻解决方案的洞察力也越来越多地被用于指导计量和检测,从而提高了生产能力,并在大批量制造中实现了更精确的工艺监控。这些产品基于对光刻系统和工艺的精确计算机模拟,代表了各种物理和化学效果。机器学习技术也越来越多地被用于进一步加快开发速度。我们正在不断开发我们的计算光刻技术,以增加模型的范围和精度,并减少计算时间和成本。有关更多产品详情和规格,请访问www.asml.com。管理我们的已安装系统ASML系统的安装基础继续增长,许多系统在新的市场和应用程序的新所有者那里找到了第二次甚至第三次生命。为了向所有客户提供尽可能最佳的价值主张,我们提供广泛的客户群管理产品组合,包括广泛的服务和升级选项。我们开发和销售产品选项和增强功能,旨在提高吞吐量、图案化性能和叠层。通过现场升级包,可以将较旧的系统升级到现场改进的型号。这使客户能够在系统的整个生命周期内优化其拥有成本。客户支持我们为客户提供广泛的应用程序、服务和技术支持产品,以维护和提高我们系统的性能。我们有近7,000名客户支持员工,他们致力于确保我们客户的FAB中的系统以最高水平的可预测性和可用性运行。我们提供全天候支持、次日部件交付、简单、集中的客户门户,以及对客户工程师的培训。2021年,我们的客户支持组织提供了近500万小时的客户支持,高于2020年的4,500,000小时。ESCAN 1100系统和过程控制我们的系统和过程控制软件产品使自动化控制回路能够保持光刻工艺的最佳运行。使用强大的算法,他们分析计量和检验数据,并计算可反馈到光刻系统的每一次曝光的必要修正,以最大限度地减少后续晶片批次中的边缘放置误差。通过这种方式,它们能够以最高的产量和性能创造出更先进的微芯片。我们的系统和过程控制路线图旨在利用我们光刻系统的巨大灵活性,并应用更强大的具有高阶校正的算法,以支持我们客户自己的路线图,以提高EPE性能。


ASML年度报告2021年26我们在半导体价值链中的地位


ASML年度报告2021年27我们的市场我们的客户是世界领先的微芯片制造商,我们的成功与他们的成功密不可分。我们根据他们的投入设计我们的机器,致力于帮助他们实现他们的技术和成本路线图,并共同努力确保我们的机器在他们的工厂顺利运行。我们的客户可以分为内存芯片制造商和逻辑芯片制造商。内存芯片可以在非常小的区域内存储大量数据。它们被用于越来越多的电子产品,如服务器、数据中心、智能手机、高性能计算、汽车或个人计算机以及其他通信设备。存储器主要有两类:NAND和DRAM。有了NAND芯片,即使在设备断电的情况下,数据也可以存储。DRAM存储器用于有效地向处理器提供数据。这些DRAM和NAND芯片通常是在专用存储芯片工厂生产的。在电子设备中处理信息的逻辑芯片由两组制造商生产。第一类被称为集成设备制造商(IDM),设计和制造逻辑芯片。第二类是代工制造商,被称为代工厂。代工制造商为“无工厂”公司生产芯片,这些公司只专注于芯片设计和分销,而不是自己制造微芯片。逻辑芯片和内存芯片在复杂性和容量上都可能有很大差异。例如,最先进的芯片正在为人工智能(AI)、大数据和汽车技术的前沿技术提供动力,而更简单、低成本的芯片正在将传感功能整合到日常技术中,以创造一个巨大的物联网。芯片市场(全球半导体收入)在过去20年中平均每年增长5%,预计将进一步增长。推动这种增长的因素已经发生了根本性的变化。在20世纪90年代,个人电脑(PC),包括台式机和后来的笔记本电脑,推动了芯片需求。在本世纪头十年,市场驱动力从个人电脑演变到智能手机。这些反过来又产生了新的市场驱动力、数据中心和(EDGE)云解决方案,在这些解决方案中,来自PC和智能手机的数据通过广泛使用专门的逻辑芯片与DRAM、NAND和HDD存储相结合来路由、处理和存储。


ASML年度报告2021年28半导体行业趋势和机遇技术正在快速发展,下一级计算正在曙光中。移动计算时代--你可以随身携带电脑--正在向沉浸式“无处不在的计算”发展,无论你走到哪里,计算能力都可以随时随地使用。向无处不在计算的过渡是由所谓的人工智能物联网(AIoT)实现的。AIoT是一个智能的互联设备网络,通过强大的5G网络无缝通信,让我们比以往任何时候都更好、更快地释放数据的力量。人工智能(AI)技术与物联网(IoT)基础设施的这种结合将实现更高效的物联网运营,改善人机交互,并增强数据管理和分析。在5G的推动下,随着AI和IoT日益交织在一起,AIoT的潜力将逐渐打开。人们可以访问的海量数据以及由此提供的洞察力,将推动半导体业务的增长和数字转型。目前大约有400亿台联网设备在使用中,而且每秒钟都在增加更多的设备。预计到2030年,这一数字将增加到3500亿台。根据外部研究,到2025年,互联的物联网设备预计每年将创造高达175 ZB(泽字节)的数据。换句话说,1 ZB(1021字节)相当于1万亿GB,以目前的平均互联网连接速度下载175 ZB的数据需要一个人18亿年的时间。随着我们走向“边缘”计算,这些大数据将需要成为快速数据,以支持无处不在的计算。在“边缘”计算中,处理过程将尽可能靠近数据源,而不是在云中。半导体计算趋势摩尔定律是半导体行业的指导原则,推动该行业从移动计算向无所不在的计算过渡。这一转变继续扩大,促进了计算的三大趋势:应用程序、数据和算法。半导体产业市场机遇2020年,全球生产了超过9530亿块芯片,造就了一个价值4400亿美元的产业。2021年,半导体行业的芯片产量超过1.1万亿片,市场规模达到5900亿美元。增长势必持续,市场分析师预测,到2025年,该行业的市场规模可能达到近7000亿美元。半导体技术在塑造互联和智能网络的未来方面发挥着至关重要的作用,终端市场也在持续增长。下面的概述显示了基于外部研究对整个行业当前市场规模和市场机会的展望。市场关键驱动因素2020市场规模(十亿美元)2025年市场机会(十亿美元)2030年估计(10亿美元)Outlook CAGR 2020-2025年(%)之前的Outlook CAGR 2019-2024年(%)智能手机继续更新所有半导体内容,包括图像传感器116 162 210 7.0%7.9%个人计算高端计算和内存,快速转换到SSD 100 121 132 3.9%2.8%消费电子传统产品和封装IC,附加组件中的高级IC 48 74 98 8.8%7.7%汽车IC内容强劲增长:GPU、传感器、V2X通信感应39 82 131 16.3%9.5%工业电子用于大数据和传感器的人工智能高端计算50 82 119 10.5%7.8%有线和无线基础架构设备,用于快速数据处理、调制解调器、基站基础架构更新38 53 63 7.0%5.5%服务器、数据中心和存储高速处理器和内存增长,硬件加速,包括GPU 76 119 187 9.2%10.6%466 693 940 8.2%7.3%1.ASML使用‘15-’25复合年增长率(CAGR)将数据外推至2030年


ASML年度报告2021年29混合现实结合了增强现实和虚拟现实技术,将把现实世界和数字元素结合在一起,创造下一级的用户体验,具有潜在的教育和培训、医疗保健和娱乐应用。自主机器人安装了智能传感器的新一代轻型机器人使人类和机器能够密切和安全地合作。连接到更大网络的智能机器人可以受益于大数据和集体学习,从而有可能降低制造成本和提高产品质量。可穿戴设备(如健身跟踪器,以及智能手表、珠宝或眼镜)能够连接到互联网,并可以持续监控、跟踪和传输个人数据。应用包括健身、健康监测和娱乐。算法应用程序数据摩尔定律性能成本能效应用程序·自主决策·身临其境的分辨率·设备人工智能·虚拟/增强现实数据·连接性·实时延迟·不断增长的数据量算法·从大数据到价值·增强的处理·深度学习5G连接5G实现了一种旨在将几乎所有人和万物(包括机器、对象和设备)连接在一起的新型网络。它支持新的用户体验并连接新的行业。自动驾驶汽车这些轮子上的超级计算机是由电子和半导体实现的。自动驾驶汽车提供了先进的驾驶员辅助系统(ADA),帮助人们更安全地驾驶并减少事故。对来自多个来源的健康数据进行预测性医疗预测分析有助于改善医疗服务和患者结果。机器学习和人工智能在医疗保健领域的结合可以提高诊断的速度和准确性,防止危急情况,并实现个性化护理。智能工业智能工业设备使用实时数据分析和机器对机器传感器来优化流程。这些设备产生的数据有助于预见瓶颈,防止错误和伤害。应用:自主制造机器人、自动化供应链管理、预测传感器。利用技术和数字网络整合服务的智慧城市。应用:通过智能交通监控,为更好的城市规划、优化能源消耗和提高公共安全提供开放数据。智能家居智能家居设备,如恒温器、灯和智能电视,可以学习用户的习惯,为日常任务提供自动化支持。应用:能源效率、安全、娱乐、门禁和福利。


ASML年度报告2021年30半导体行业动态几个因素正在塑造半导体行业格局。这些是推动行业发展的一些主要趋势,无论是现在还是未来。消费者需求上升通过互联设备将无线通信、电信、媒体和云融合在一起,继续推动全球对先进半导体的需求。不断增长的人口和城市化正在创造对先进消费电子设备日益增长的需求。微芯片是这些设备的核心。新兴技术的显著增长动力要求专门为一波新应用设计的新的和先进的芯片。更多内容请阅读:半导体行业趋势、机遇和客户亲密度。全球对人才的争夺具有技术背景的高技能人才在劳动力市场上稀缺,竞争日益激烈。顶尖人才选择他们选择的雇主,而不是反过来。随着该行业争夺一小批具备开发创新解决方案技能的科学家、工程师和软件开发人员,全球人才竞赛正变得更加关键。企业正试图为增长而增加员工,但高科技资源池很浅。STEM职位的数量预计将大幅增长,但鉴于合格候选人的短缺,填补这些职位是具有挑战性的。留住人才对科技公司来说已变得至关重要。更多内容请阅读:我们的人民。全球地缘政治当前的贸易环境对全球半导体行业构成重大挑战,贸易紧张和保护主义加剧的局面可能会持续下去。全球大流行提醒世界各国政府,全球供应链可能造成对服务、原材料和终端产品的严重地理依赖。半导体在大型工业综合体的发展和连续性中发挥着越来越重要的作用,半导体行业的重要性可能只会增加。各国政府已将注意力转向确保充足的半导体供应,以支持本国产业,创造更高水平的技术主权,并计划在半导体行业进行重大投资。该行业正被迫管理交易成本。最终,这可能会转嫁到终端市场,导致设备价格上涨。除了金融影响外,贸易紧张和保护主义还在整个供应链及其流程中带来了显著的复杂性。这迫使该行业重新审视其全球供应链。更多内容请阅读:我们的供应链,我们如何管理风险和风险因素。在快速发展的半导体行业扩大研发投资,获得最新技术、芯片设计和制造工艺是竞争的基础。研发是一项越来越重要的优先事项和开支。芯片制造商面临的支持应用和终端市场正变得越来越复杂。由于科技平台公司的崛起转向内部芯片设计,传统半导体公司面临着多样化投资组合的挑战。此外,执行创新的增量成本正在上升,需要更高水平的研发投资才能实现同样的目标。将产品更快地推向市场至关重要,否则芯片制造商可能会错失良机。因此,及早向客户提供解决方案的压力越来越大。更多内容请阅读:创新生态系统、风险因素和财务业绩。为了利用AI、物联网、5G和自动驾驶汽车等大趋势的融合,该行业正在向能够在整个投资组合中释放价值的资产投资大量资金。近年来,全球半导体产业呈现出巨大的增长,预计这种增长将继续下去。该行业正在重新关注增加规模和核心能力的熟练程度,以及向新能力和新市场扩张。合并、收购和合资预计将是芯片市场战略的关键部分,交易重点是新兴技术。更多内容请阅读:半导体行业趋势和机遇、我们的供应链和风险因素。对气候变化采取行动气候变化是全世界的当务之急。这是一项全球挑战,需要全球责任将气温上升控制在远低于2摄氏度的水平。该行业可以发挥作用。半导体制造过程消耗了大量的能源和水资源。在推动摩尔定律的同时,使计算能力和存储能力得以提高的同时,也推动了对这些资源的需求。为了提高能源和水资源的效率,将需要新的架构和看待整个生态系统的新方式。为了迎接这些挑战,半导体行业必须降低功耗。更多内容请阅读:气候和能源。


ASML年度报告2021 31 SWOT分析根据半导体行业和社会的全球趋势和发展,是我们业务成功的重要因素,也是为我们的利益相关者创造价值的重要因素。利用这些外部和内部因素,以及当前和未来的潜力,我们评估了我们公司在我们所处的环境中的竞争地位。下表简要概述了我们的优势、劣势、机会和威胁(SWOT)。有关我们如何管理该主题的更多信息,请参阅参考部分。优势+劣势-·技术领先地位(阅读更多信息:我们的产品和服务、创新生态系统)·市场领先地位(阅读更多信息:我们的产品和服务、我们的市场、客户亲密度)·协作与持久的创新(阅读更多信息:创新生态系统)·世界级的员工,具有‘能干’的心态(阅读更多信息:我们的核心价值观、我们的员工)·强大的财务状况(阅读更多信息:2021年亮点,财务业绩)·支持快速增长的资源和流程的成熟度(阅读更多信息:我们的员工,我们如何管理风险)·有限的成本领先优势(请参阅:卓越运营、CFO财务审查、我们如何管理风险)·我们产品和技术的日益复杂(请参阅:我们如何管理风险)机会?威胁?·驾驭技术大趋势(请参阅:半导体行业趋势和机遇,我们的战略)·整体光刻产品组合扩展(请参阅:我们的产品和服务,我们的战略)·半导体行业新客户的出现(更多阅读:半导体行业动态)·提高品牌知名度(更多阅读:我们的人)·不断增强的可持续性动力(更多阅读:我们的战略,循环经济,气候和能源)·地缘政治紧张(更多阅读:半导体行业动态,我们如何管理风险)·供应链中断(更多阅读:我们的供应链,我们如何管理风险)·知识产权技术领导压力(阅读更多内容:我们如何管理风险)·某些市场的激烈竞争(阅读更多内容:我们如何管理风险)·人才竞争(阅读更多内容:半导体行业动态、我们的员工、我们如何管理风险)·疫情和气候变化的后果(阅读更多内容:我们如何管理风险、气候和能源)


ASML年度报告2021年32我们的战略半导体行业的长期增长基于这样一个原则:通过不断缩小(增加微芯片上晶体管的密度)和系统扩展(改进微芯片设计、材料和架构)相结合,数字电子设备上的每次计算所需的功率、成本和时间不断减少。在接下来的十年里,我们相信摩尔定律将继续从电力和时间的成本,通过系统的扩展,到衡量能源和时间效率的总和。这意味着半导体路线图将继续在四个领域推动规模扩展:·通过新的晶体管结构和相关材料进行设备级规模扩展·通过优化微芯片电路设计进行电路规模扩展·通过收缩进行尺寸扩展·通过3D集成电路规模扩展来实现架构规模扩展,从而推动对先进半导体解决方案的需求,其中尺寸扩展(收缩)是提高电路密度和成本的关键。为了在下一个十年推动负担得起的规模扩张,芯片制造商的路线图需要继续缩减。光刻是缩小的关键因素,因为它是用来在微芯片上图案化结构的工艺。EUV 0.55 NA插入在Logic和DRAM中插入EUV 0.55 NA(High-NA),用于2025年后的大批量生产,通过简化版图方案和降低Logic和DRAM的缺陷密度来支持客户路线图。DUV竞争力继续保持我们的创新领先地位,通过推动DUV实现最高水平的性能,实现客户路线图的执行。通过持续改进和卓越的运营,扩大我们的客户群并支持客户需求。整体光刻和应用通过提供领先的器件内测量解决方案,在边缘放置测量和控制领域建立了制胜地位,以支持客户需求。将完整的产品组合集成到整体光刻解决方案中,以优化和控制光刻性能。EUV 0.33 NA用于制造安全的大批量制造性能,并通过扩展未来节点的产品组合来增强EUV技术的价值。通过改进系统性能为客户提高成本效益,并通过可升级性和服务延长客户群的使用寿命。通过关注客户的需求,增强客户的信任,增强卓越的运营能力、部件的通用性和可持续性。推动产品性能和能源效率的提高,重复利用,降低成本和浪费。我们投资于以技术为基础的创新路线图,通过增强EUV的分辨率以及覆盖和图案保真度控制的整体规模,实现微芯片的持续缩小。此外,我们还投资于DUV以及计量和检测技术的持续创新,以补充EUV主导的收缩能力。这就是我们追求长期战略愿景的方式。我们通过在研发方面进行大量和持续的投资,以与客户相同的速度在整个产品组合中进行创新。为了加速我们的产品开发,我们并行而不是顺序地进行设计,同时始终保证产品的质量、可靠性、可制造性和适用性。这使我们能够更快地将我们的创新成果送到芯片制造商手中。我们与芯片制造商合作,了解我们的技术如何最好地满足他们的需求,包括他们面临的挑战和对未来的愿景。正是通过这种合作和信任,我们才能为今天而建,为明天而发展。核心战略的五大支柱为了实现我们在半导体行业的长期战略愿景,我们继续推动我们的核心战略,我们围绕五大支柱确定了这五大支柱:加强客户信任、整体光刻和应用、DUV竞争力、用于制造的EUV 0.33 NA和EUV 0.55 NA插入。


ASML年度报告2021年33我们的可持续发展战略通过我们的可持续发展战略,包括五个战略领域--气候与能源、循环经济、人、创新生态系统和负责任的供应链--我们继续推进我们的企业责任,为我们的利益相关者创造长期价值,并为联合国的可持续发展目标(SDGs)做出贡献。我们希望确保可持续的影响,同时为我们的利益相关者提供当前和未来的最佳价值。专注于对我们的业务和利益相关者至关重要的事情,是我们战略的基石。通过重要性评估,我们确定和评估与我们的利益相关者最相关的主题,并维持ASML的长期业务增长。更多内容请阅读:非财务报表-重要性评估。十多年来,我们一直致力于通过多方面的可持续发展计划实现可持续发展。我们的目标是解决与我们和我们的利益相关者最相关的问题,作为我们履行企业责任的一部分。人们为了集体利益而赋予个人权力,以确保我们的员工为我们工作而感到自豪,并实现我们作为一家公司的雄心壮志。负责任的供应链通过确保我们以可持续和负责任的方式开展业务,为我们的世界级供应商网络实现我们努力的创新设定了更高的标准。气候与能源正在采取每一步来降低我们的足迹,以在我们的运营中实现零排放。在提高产品生产率的同时,我们也在努力提高产品的能效。我们不会孤立地创新,以确保我们价值链中的快速创新。我们在合作伙伴和协作知识网络的帮助下共同开发技术。创新生态系统循环经济最大限度地减少浪费,最大限度地利用资源,从我们使用的材料中获取最大价值,并在产品的整个生命周期中重新使用我们的产品。我们目前的可持续发展战略于2018年启动,2019年至2025年期间,重点关注五个战略可持续发展领域。在过去的几年里,我们在逐步扩大业务范围的同时,表现出了不断的改进和一贯的业绩。然而,我们公司的发展以及对可持续发展的环境、社会和治理(ESG)方面的透明报告的需求日益增长,这促使我们重新评估2021年的可持续发展战略。为此,我们根据主要的可持续性主题及其对我们业务运营的相对重要性,更新了对2022-2025年剩余时期的重要性评估。这一评估的结果成为ASML重塑和重新制定我们2025年及以后的长期可持续发展雄心和目标的基础,以加强我们利益攸关方的期望与我们的可持续发展战略之间的相关性。提高ASML对ESG可持续性的标准,我们的目标是通过能够进一步缩小的光刻产品和服务,为数字化和可持续的未来做出积极贡献。作为一个负责任的组织,我们希望做出更多努力,成为可持续发展领域的领导者,利用我们的创新优势实现这一目标。我们相信数字技术是可持续社会的基石。在微芯片的支持下,它们构成了工具和解决方案的核心,可以帮助社会取得进步和应对全球挑战,例如通过减少能源消耗和温室气体(GHG)排放来应对气候变化。我们的产品继续支持摩尔定律的延续,该定律使计算、通信和我们生活的无数方面更加节能。为了追求我们的愿景,我们开发光刻技术来


ASML年度报告2021年34继续生产每两年能效提高三倍的微芯片。此外,我们正在帮助我们的客户最大限度地减少生产先进微芯片所需的材料和能源的使用。我们已经制定了路线图,让我们到2030年实现垃圾处理净零,到2040年实现价值链净零排放。我们的目标是实现这一目标,拥有一支多元化、敬业和有才华的劳动力队伍和强大的创新合作伙伴网络,所有这些都敏锐地着眼于一个更可持续的社会的需求。为了取得成功,我们需要将这种ESG抱负嵌入到我们的企业文化、心态和日常运营中。我们的ESG可持续发展路线图2022-2025年基于我们当前的可持续发展战略和我们所取得的进展,我们重新评估并正在丰富我们到2025年的路线图。我们着眼于我们在各个层面上的影响,从整个社会到我们自己的行动。作为广泛重新评估的结果,我们将主要问题和我们的影响领域整合为九个可持续发展主题,按我们公司、业务和运营的环境、社会和治理(ESG)方面进行分类。类别主题环境能源效率和气候行动循环经济对所有创新生态系统具有社会吸引力的工作场所负责任的供应链我们社区的重要合作伙伴治理综合治理利益相关者参与透明报告环境我们开发光刻技术,以继续生产每一代更节能的微芯片,取代许多低能效技术、产品和服务。减少我们的环境足迹和管理我们的废物--包括我们的运营和我们产品和服务的使用--是我们循环经济方法和可持续发展实践的关键。我们坚持我们的雄心,到2025年在我们的业务(范围1和范围2)实现净零排放的碳中和。与此同时,我们提高了对范围3排放的雄心。通过与一级供应商的密切合作,我们的目标是到2030年实现供应链的净零排放。此外,通过联合路线图上的行业合作,我们努力在2040年前为我们的客户(范围3)的产品使用实现净零排放。作为一家跨国科技公司,我们直接和间接地影响着许多人的生活。在我们的价值观和对企业责任的承诺的推动下,我们希望在社会中发挥积极作用-为我们的员工、我们周围的社区以及参与我们的创新生态系统和供应链的每个人。我们的目标是提供尽可能好的员工体验,需要我们选择为我们工作的人才,并希望长期与我们在一起。我们培育了一种文化,在这种文化中,不同的身份、背景、才华和激情受到重视和赞扬,我们使我们的领导层能够通过信任、赋权和问责发挥人的最佳潜能。我们还在我们周围的社区中发挥着积极的作用。我们的目标是成为一个有价值和值得信赖的合作伙伴,提高所有人的生活质量,特别关注服务不足社区的人们。我们在我们的行业和创新生态系统中加强创新,培育年轻企业家。我们与我们价值链中的客户和合作伙伴密切合作,帮助他们实现目标并实现新技术和应用。我们努力达到行业的社会、道德和环境标准,我们也要求我们的供应商也达到这些标准。治理随着公司的壮大,组织结构也变得更加复杂。我们倡导良好的综合公司治理,其中独立性、问责制和透明度是最重要的要素。这些也是我们和我们的利益相关者--股东、客户、供应商、员工和社会--之间建立信任、尊重和互利关系的基础。持续的利益相关者参与,即我们通过各种渠道和不同层面接受公开对话和知识共享,对我们这个创新驱动的行业非常重要,并有助于我们确定改进的领域。为了在设定的时间框架内实现我们的雄心,我们专注于加强我们组织的治理结构,以确保我们ESG可持续发展路线图上的每个项目都嵌入到运营业务计划中,并为实现其目标做好最好的准备。


ASML年度报告2021年35本年度报告中关于ESG主题的读者指南2021年年度报告概述了ASML在2021年历年的战略、计划和业绩。在可持续发展方面,我们指的是可持续发展的五个战略领域-气候与能源、循环经济、人、创新生态系统和负责任的供应链-与我们自2019年以来的披露一致。虽然我们已经在2021年9月29日的ASML投资者日推出了更新的ESG重点领域,但衡量我们业绩和成功的指标正在定义中,实施将于2022年开始。根据我们的2022年度报告,我们将使用这套指标报告我们最新的ESG雄心。


ASML年度报告2021年36我们2021年的业绩


ASML年度报告2021年37环境社会治理7制造场所(7)1,689 TJ能源消耗(1,412 TJ)30,842 FTE总员工(26,481 FTE)122个国家(120)可持续影响2021年结果(2020年实际情况)财务环境社会5.50建议每股年化股息欧元61亿欧元净收益(2.75欧元)(37亿欧元)86亿欧元股票回购(12亿欧元)(3580万欧元)(360公斤)每欧元产生305公斤废物收入77%材料回收率(85%)39.4kt二氧化碳范围1和2净足迹(15.4kt)78%员工参与度得分4170万欧元社区和生态系统支持(80%)5.4%流失率(3.8%)我们的战略我们的目标通过将技术推向新的极限来释放人和社会的潜力整体光刻和应用增强客户信任DUV竞争力EUV 0.33 NA用于制造EUV 0.55 NA插入(4)6次监事会会议4次SB委员会396发言报告(229)双层董事会结构挑战,协作与关怀核心价值观行为准则适用于所有员工欧元2700万欧元培训和发展(1200万欧元)欧元每股收益14.97欧元(8.84欧元)治理长期利益相关者价值财务环境社会股东·长期有机增长·资本回报·稳健的融资政策客户·支持新技术员工·可持续园区客户·减少浪费·节能模式员工·积极的员工体验·职业机会·员工福利·负责任的商业合作伙伴·以最高道德、诚信和尊重标准运营·透明报告·公平纳税社会·负担得起的技术·社区福利·创新生态系统股东、客户、供应商、员工、社会供应商·负责任的采购和生产·员工创造社会·减少环境足迹供应商·减少废物·可持续生产供应商·战略合作伙伴财务118亿欧元股东权益(153亿欧元)欧元46亿欧元长期债务,包括经营活动提供的本期部分(47亿欧元)116亿欧元净现金(53亿欧元)资源(2020年实际)


ASML年度报告2021年38我们如何创造价值我们的业务的成功取决于与价值链中所有利益相关者建立牢固、可持续的关系,以实现所需的半导体技术创新。我们利用利益相关者的意见以及行业和社会的趋势来制定我们的战略、我们的产品和服务。我们将我们的利益相关者定义为我们的股东、客户、供应商、员工和我们经营的社会。我们致力于为我们的利益相关者创造长期价值,并对联合国可持续发展目标(SDGs)产生更广泛的影响。我们的价值创造模型基于国际综合报告理事会(IIRC)开发的框架,在该框架中,我们将我们在执行战略时用于业务活动的资本资源建模为财务、环境、社会和治理主题。每一种资本资源都是相互关联的,商业活动往往需要混合资本。对于每个主题,我们制定了业绩指标,根据所使用的资本资源衡量成果进展情况。我们的目标是以最有效的方式使用我们的资本资源,使其潜在价值最大化,并将其负面影响降至最低,作为我们不断改进和为所有利益相关者创造长期价值的努力的一部分。利益相关者价值我们的目标和战略旨在通过我们的金融、环境、社会和治理重点领域和主题创造短期和长期价值。短期价值--一年的时间范围--体现在2021年成果绩效指标中。有关我们进展情况的更多信息可在本年度报告的后续章节中找到。长期价值--五到十年的时间范围--如下所述,按每个利益相关者创造的价值分类。最后,我们将我们对整个价值链的长期影响与联合国制定的可持续发展目标联系起来。我们专注于我们可以产生最大影响的五个可持续发展目标:可持续发展目标4优质教育、可持续发展目标8体面工作和经济增长、可持续发展目标9创新和基础设施、可持续发展目标12负责任生产和消费,以及可持续发展目标13气候行动。长期利益相关者重视我们的核心价值观-挑战、协作和关怀-是我们旨在创造长期价值的文化的关键贡献者,也是我们战略执行的重要推动因素。我们将我们对所有利益相关者的长期价值定义为:股东价值我们为执行业务战略而在研发方面进行的大规模和持续的投资,使我们能够保持我们在整体光刻领域的领先地位。我们的创新有助于半导体行业的长期增长,这有助于我们稳健的财务业绩和资本回报政策。客户价值作为世界领先的芯片制造设备制造商之一,我们投资于使微芯片继续缩小的创新。凭借EUV 0.33 NA和下一代EUV 0.55 NA平台,我们追求摩尔定律的延续。这使我们的客户能够为新的应用和设备开发功能更强大的芯片。同时,我们通过在我们的产品中嵌入循环原则,帮助我们的客户降低成本和环境足迹。供应商价值随着我们的增长和我们的创新进入越来越高的复杂程度,我们希望我们的供应商与我们一起成长。我们与我们的供应商网络一起创新,分享知识,利用彼此的技术专长。与供应商的长期关系、密切合作和透明度是我们成功的关键。员工价值我们的劳动力近年来急剧增长,从2016年的约16,500 FTE几乎翻了一番,到2021年超过32,000 FTE。例如,我们在荷兰维尔德霍温的总部拥有16,727名员工,是社区的主要雇主。我们是一个拥有122个国家的自豪的雇主,允许不同的观点来寻求最好的想法。发展我们的员工对我们业务的持续成功至关重要,因此我们投资于他们的职业发展和福祉。通过我们的不断创新,我们实现了支持半导体行业增长和转型的新技术,使用人工智能提供新的应用和服务,以满足社会需求。通过我们的创新生态系统,我们通过回馈社会来培育创新,例如通过与大学和研究机构分享我们的专业知识,支持年轻的科技公司,以及在全球范围内促进STEM教育。我们还开发突破性技术来加强我们的创新足迹,并将我们的环境足迹降至最低。我们通过寻求最大限度地减少浪费和最大化我们使用的材料的价值来做到这一点,并执行我们的碳足迹战略和产品能效战略。


ASML年度报告2021年39可持续影响我们相信,芯片行业在应对社会经济和环境挑战方面处于独特的地位。我们专注于与我们的利益相关者最相关的挑战和可持续发展领域,以及我们相信ASML可以产生最大长期影响的领域。更多内容请阅读:非财务报表-重要性评估和半导体行业趋势和机遇-SWOT分析)。我们把重点放在联合国的可持续发展目标上,在这些目标上,反兴奋剂机构可以发挥真正的作用。


ASML年度报告2021年40财务我们2021年的业绩


ASML年报2021年41首席财务官罗杰·达森(执行副总裁总裁和首席财务官)亲爱的利益相关者,半导体终端市场的强劲增长,受到数字基础设施加速以及未来先进节点上不断增加的光刻强度的推动,推动了对我们产品和服务的需求。这些动态推动了我们公司的增长,在销售额、我们的员工队伍和我们为提高我们的能力以支持客户的晶片需求而进行的投资方面。凭借我们对技术领先地位的持续投资,我们为所有利益相关者创造了巨大的价值,我们拥有合适的工具,可以在未来几年实现持续的可持续增长。2021年创纪录的净销售额今年是ASML的又一个增长年,净销售额达到186亿欧元,增加了46亿欧元,创下了纪录。新冠肺炎危机加速了全球数字化进程,导致我们的客户在所有细分市场的先进和成熟节点的需求强劲增长。逻辑系统的销售额增长了22亿欧元,增幅为30%。这是因为客户继续看到对先进和成熟节点的强劲需求,以支持正在进行的数字转型,其中包括长期增长驱动因素,如5G、人工智能、虚拟现实、游戏、模拟和可视化应用,以及将成为不断增长的数字基础设施不可或缺的智能云和边缘。由于终端市场对服务器和智能手机的强劲需求,内存系统的销售额增长了11亿欧元,增幅为39%。在EUV中,我们看到客户在逻辑和DRAM中采用了更多的层。采用率预计将继续增长,以降低图案的复杂性和成本,并支持我们客户激增的需求。这导致2021年EUV系统收入达到63亿欧元,比2020年增加18亿欧元。我们在2021年成功发货并认可了42个EUV系统,其中包括我们第一个用于大批量制造的NXE:3600D。2021年,我们总共出货了26台NXE:3600D。与NXE:3400C相比,NXE:3600D在产品覆盖方面的性能提高了约30%,并将吞吐量生产率提高了15%至20%。净服务和现场选项销售额增长了13亿欧元,增长了35%,这是由于生产力、覆盖和Focus升级套餐的销售增加,以及不断增长的安装基础。在全球芯片短缺的情况下,我们的客户提前了对我们的生产率增强组件的需求,这些组件提供了最有效和最高效的方式来增加晶圆产量,因为它们可以快速安装。我们供应链中的挑战为了满足我们整个产品组合的强劲需求,我们一直在缩短制造周期,我们正在与我们的供应链合作,以提高我们对EUV和DUV的输出能力。在提高产能以满足日益增长的需求的过程中,我们感受到了新冠肺炎危机的后遗症,表现为我们的供应链中出现了一些材料短缺。我们与供应商和客户密切合作,以解决材料短缺的问题,以支持我们所有业务线不断增长的全球需求,但这些短缺确实导致许多系统的组装工作起步较晚。此外,我们在新物流中心的启动过程中也遇到了一些问题。由于这些因素和高需求环境,我们的客户更频繁地要求快速发货,我们在完成正常的工厂验收测试(FAT)之前通过发货加快系统交付,以便尽快将系统投入生产。这导致收入确认被推迟到发货后,直到现场完成正式的客户验收测试。由于我们位于维尔霍温的新物流中心的启动问题,以及我们供应链中的材料短缺,我们的发货出现了延误。为了满足客户对更多晶圆产能的需求,我们加快了生产率升级的交付。总体而言,我们支持强劲客户需求的能力推动2021年总净销售额增长33%。展望正在进行的数码转型和目前的晶片短缺,进一步助长了增加我们的能力以满足当前和预期的未来需求的需要。假设客户对高级和成熟节点的需求保持强劲,我们预计我们的Logic业务将继续增长。对于内存,今年的增长预计将持续到2022年,因为光刻工具的利用率保持不变


ASML年度报告2021年42非常高,而客户表示他们认为DRAM和NAND的需求增长强劲。为了满足这种预期的位增长需求,客户将需要增加容量并继续进行节点迁移。随着客户迁移到更高级的节点,我们还预计会看到EUV对内存的需求增加。随着装机量的增长,我们的服务和升级业务将继续扩大,我们预计升级需求将会很大,随着这项技术的批量生产,EUV服务收入的贡献也会越来越大。强劲的毛利、净收入及经营活动提供的现金毛利占净销售额的百分比由2020年的45.6%上升至2021年的50.6%,主要归因于NXE 3600D和DUV沉浸系统的价值主张以及我们装机基础业务的持续增长。我们继续推动我们的EUV系统的盈利能力,因此,我们在2021年实现了50%的系统毛利率。展望未来,我们将继续通过降低成本和提供更多价值来提高系统和服务的利润率,从而导致更高的销售价格。我们的有效税率提高到15.2%,主要是因为荷兰自2021年起提高了创新盒子税率。我们预计未来几年我们的有效税率约为16%。我们强劲的净收入和持续的营运资本改善计划导致经营活动提供的净现金在2021年增加了63亿欧元。显著的增长使我们能够通过股息和股票回购计划向股东返还创纪录的金额。2021年,我们以86亿欧元的总代价回购了股票,并支付了总计14亿欧元的股息。我们预计明年将继续为股东带来强劲的现金回报。总体而言,受正在进行的数字转型和当前芯片短缺的推动,这是ASML又一个创纪录的一年。作为向更互联世界的数字化转型的一部分,长期增长趋势以及推动技术主权的国家正在推动先进和成熟节点所有细分市场的未来需求。罗杰·达森首席财务官


ASML年度报告2021年43 ASML运营关键绩效指标的最新情况下表列出了我们的管理委员会和高级管理层用来衡量绩效的关键绩效指标。下表中的数字基于美国公认会计原则,因为ASML根据美国公认会计原则衡量其业绩,并向利益相关者提交季度外部报告。截至12月31日的一年(欧元,单位:百万,除非另有说明)2020%1 2021%1销售额总净销售额13,978.5 18,611.0总净销售额同比增长(%)18.3 33.1系统净销售额10,316.6 13,652.8净服务和现场选项销售额3,661.9 4,958.2光刻系统销售额(单位)2 258 309沉浸式系统确认(单位)68 81 EUV系统确认(单位)31 42盈利能力毛利润6,797.2 48.6,809.0 52.7运营收入4,051.5 29.0 6,750.1 36.3净收入3,553.7 25.4 5,883.2 31.6流动资金及现金等价物6,049.4 6,951.8短期投资1,302.2 638.5经营活动提供的现金净额4,627.6 10,845.8自由现金流量3 3,626.8 9,905.5 1.占总销售额净额的百分比2.光刻系统不包括计量和检查系统。3.自由现金流量是非公认会计准则衡量标准,其定义为经营活动提供的现金净额(2021年:108.458亿欧元和2020年:46.276亿欧元)减去购置不动产、厂房和设备(2021年:9.007亿欧元和2020年:9.62亿欧元)和无形资产购置(2021年:3960万欧元和2020年:3880万欧元)。我们相信,自由现金流对我们的投资者来说是一个重要的流动性指标,反映了可用于收购、偿还债务和通过股息和股票回购向我们的股东返还资金的现金。购买物业、厂房和设备以及购买无形资产在计算自由现金流时从经营活动提供的净现金中扣除,因为这些付款是支持维护和投资我们的资产以维持当前资产基础所必需的。自由现金流量的组成部分是根据美国公认会计原则确定的。财务业绩欧元186亿欧元总净销售额欧元169亿亚洲欧元16亿美元EMEA 50.6%毛利率欧元100亿欧元资本回报欧元86亿欧元股票回购欧元14亿欧元每股派息14.97欧元(基本)创新引领半导体行业,我们拥有正确的工具来执行我们的长期财务战略,并预计在未来几年实现持续的可持续增长。


ASML年度报告2021年44总净销售额和毛利润我们在2021年又实现了创纪录的一年,总净销售额增长了46.325亿欧元,增幅为33.1%,反映出系统净销售额增长了32.3%,服务和现场选项净销售额与2020年相比增长了35.4%。来自每个逻辑和存储市场以及我们的客户群的收入增长(欧元,以百万为单位)欧元18,611欧元13,978欧元11,820欧元9,589欧元7,393欧元6,565欧元4,064欧元2,923欧元2,431欧元4,958欧元3,662欧元2,824逻辑存储服务和现场选项2021年的经营业绩与2020年相比2021年的经营业绩根据美国公认会计原则和欧盟-国际财务报告准则对净收益的调节如下:截至12月31日的年度(欧元,以百万为单位)2020 2021年开发支出资本化和相关摊销的净收益,税项净额140.6 249.0所得税2.52.5净收益符合欧盟-国际财务报告准则3,696.8 6,134.6本年度报告所载综合财务报表乃根据欧盟-国际财务报告准则编制,因此,本章余下部分所载营运分析结果以欧盟-国际财务报告准则为基础。截至12月31日的年度(欧元,系统净销售额10,316.6 73.8 13,652.8 73.4 32.3服务和现场选件净销售额3,661.9 26.2 4,958.2 26.6 35.4总净销售额13,978.5 100.0 18,611.0 100.0 33.1系统销售成本(5,597.9)(40.0)(6,874.5)(36.9)22.8服务和现场选件销售成本(2,012.0)(14.4)(2,3191)(12.5)15.3销售总成本(7,609.9)(54.4)(9,193.6)(49.4)20.8毛利6,368.6 45.6 9,417.4 50.6 47.9研发成本(1,579.9)(11.3)(1,861.6)(10.0)17.8销售,一般和行政成本(544.9)(3.9)(725.6)(3.9)33.2其他收入--213.7 1.1 N/A营业收入4,243.8 30.4 7,043.9 37.8 66.0财务收入8.4 0.1 10.0 0.1 19.0财务成本(43.3)(0.3)(54.6)(0.3)26.1所得税前收入4,208.9 30.1 6,999.37.6 66.3所得税开支(600.7)(4.3)(1,063.8)(5.7)77.1所得税后收入3,608.2 25.8 5,935.5 31.9 64.5与联营公司投资有关的利润(亏损)88.6 0.6 199.1 1.1 124.7净收益3,696.8 26.4 6,134.6 33.0 65.9 1.占总净销售额的百分比我们在逻辑和存储市场都看到了增长,这反映了我们的客户创新的动力,并继续投资于未来的技术节点,以促进数字基础设施的加速和推动“技术主权”,并提高制造能力,以解决全球芯片短缺的问题。在数字化转型和分布式计算的推动下,对先进和成熟节点的逻辑需求继续强劲。在终端市场对服务器和智能手机需求的推动下,内存需求继续增长。


ASML年度报告2021年45净销售额增长由EUV和安装基础管理(欧元,以百万为单位)的增长推动欧元13,978欧元1,821欧元1,043欧元309欧元164欧元1,296欧元18,611 2020 EUV Arfi KRF计量和检验服务及现场选项2021净销售额的增长是由我们的客户对所有技术的需求强劲增长推动的。我们的DUV和EUV销量增加,以跟上持续的数字转型和当前芯片短缺所推动的客户需求。我们在2021年确认了42个EUV系统的收入,而2020年为31个EUV系统。我们DUV技术的系统销量从2020年的227台增加到2021年的267台。除了EUV和DUV的增长外,服务和现场选项销售也是我们净销售额整体增长的关键驱动力。这一增长是由生产力、覆盖和焦点升级套装的销售增加推动的,这些套装提供了快速增加晶片产量的最有效和最高效的方式,并得到不断增长的安装基础的支持。EUV继续以更有意义的方式为净服务和现场选项销售做出贡献,因为我们的安装基础继续增长,我们的客户继续在其大批量生产中运行更多的EUV系统。毛利由于销售额和盈利能力的增加,毛利增加了。毛利润占净销售额的百分比从2020年的45.6%增加到2021年的50.6%,主要归因于我们为客户提供更多价值的EUV盈利能力的改善,DUV产品组合以及通过提高产量和生产力升级数量改善了我们安装的基础业务的盈利能力。欧元6,369欧元9,417 45.6%50.6%毛利(欧元,百万欧元)毛利率%2020 2021研发成本研发投资25.47亿欧元(2020年:22.08亿欧元),由信用净额(包括不符合资本化条件的开发成本)18.616亿欧元(2020年:15.79亿欧元)和开发支出资本化6.854亿欧元(2020年:6.209亿欧元)组成。我们的每个EUV、DUV和支持我们整体光刻解决方案的应用程序的投资都有所增加,其中最重要的投资流向了我们继续加强EUV大批量制造的路线图,以及我们开发的EUV 0.55 NA(High-NA)。2021年,研发活动主要涉及:1,580欧元1,862 11.3%10.0%研发成本(欧元,百万欧元)占2020年净销售额的%2021年·EUV-继续投资于EUV大批量制造,完成NXE:3600D的开发,投资NXE:3800E的开发,以及进一步提高我们已安装基础系统的可用性和生产率。此外,我们的路线图包括我们的下一代EUV 0.55 NA系统High-NA,以支持我们的客户拥有未来的Logic和DRAM节点。·DUV-我们最新一代浸渍系统NXT:2050i的升级,以及干式系统XT:860N的推出。下一代扫描仪将于2022年发货,NXT:2100i用于最关键的DUV层,NXT:870用于KRF干式市场的突破性生产力。继续提高生产率,以提高客户安装基础上的每天晶圆产量。·应用--继续投资于单光束检测、电子束计量和光学计量(YeldStar ADI和IDM解决方案)。此外,确保我们的多波束检测路线图的安全,并不断扩大我们在整体软件应用领域的投资。


ASML年度报告2021年46欧元545欧元726 3.9%3.9%SG&A成本(欧元,以百万为单位)占净销售额的百分比2020 2021欧元601欧元1,064 14.3%15.2%所得税支出(欧元,以百万为单位)ETR%2020 2021欧元8.84欧元14.97 418 410 EPS(基本)加权平均股数2020 2021销售、一般和行政成本SG&A成本从2020到2021年增加了33.2%,这是由于员工数量的增加,以及支持我们增长的数字化和网络安全方面的投资。2021年,我们的销售、一般和行政成本占净销售额的百分比保持在3.9%(2020年为3.9%)。所得税2021年实际税率增至15.2%,而2020年为14.3%。较高的税率主要是由于荷兰的创新盒子税率从7%提高到2021年的9%。2021年的净收益净收益为61.346亿欧元,占总净销售额的33.0%,相当于每股普通股基本净收益14.97欧元,而2020年的净收益为36.968亿欧元,占总净销售额的26.4%,相当于每股基本净收益8.84欧元。


ASML年报2021年47现金流量分析今年我们取得了创纪录的现金流量表现。在客户的强劲全球需求和我们的营运资本计划的推动下,我们的经营活动提供的净现金增加到116亿欧元(2020年:53亿欧元)。我们还继续努力将现金返还给我们的股东。通过股票回购计划和不断增加的股息,我们能够向股东返还创纪录的现金。2021年,我们购买了86亿欧元(2020年:12亿欧元)的股票,支付了总计14亿欧元(2020年:11亿欧元)的股息。我们继续大力投资于我们的下一代技术,以确保未来的增长机会,这需要在净营运资本、资本支出和研发方面进行大量现金投资。然而,我们的资本分配政策保持不变。2021年12月31日止年度(欧元,单位:百万)2021年期初3,532.3 6,049.4经营活动提供(使用)现金净额5,306.6 11,592.6投资活动提供(使用)现金净额(1,972.3)(757.4)融资活动提供(使用)现金净额(811.9)(9,953.1)汇率变动对现金的影响(5.3)20.3现金及现金等价物净增(减)2,517.1 902.4现金及现金等价物净额,期末6,049.4 6,951.8短期投资1,302.2 638.5经营活动提供(用于)经营活动的现金净额7,351.6 7,590.3经营活动提供的现金净额与2020年相比大幅增加63亿欧元,主要是由于净收益增加24亿欧元,以及与我们持续的营运资本改善计划相关的客户首付增加。用于投资活动的现金净额与2020年相比减少了12亿欧元,这主要是由于我们的大多数短期投资到期,由于大量现金用于我们的股票回购计划,新的短期投资购买有限。2021年,我们以3亿欧元的价格出售了作为收购柏林Glas的一部分而收购的非核心业务,而在2020年,我们以3亿欧元的总代价收购了柏林Glas。用于融资活动的现金净额与2020年相比,融资活动使用的现金净额显著增加91亿欧元,这主要是由于通过我们的股票回购计划购买的股票增加了74亿欧元,导致购买的股票总计86亿欧元。此外,我们的股息增加了3亿欧元,达到14亿欧元。2020年,我们从发行15亿欧元的票据中获得了净收益,2021年没有发行。截至2021年12月31日,管理层已确定ASML有足够的营运资金来满足公司目前的需求。


ASML年度报告2021年48长期增长机会趋势信息我们预计2022年将是又一个增长年,在健康的Logic需求和内存市场的增长的推动下,预计净销售额将比2021年增长约20%。预期的增长是由所有平台上销售额的增加以及我们安装基础业务的增长推动的。围绕创新和拓展新市场的积极行业势头进一步增强了我们对2022年前景和2025年增长情景的信心。在逻辑中,我们看到了正在进行的数字化转型,因为我们正在向一个更互联的世界迈进。不断扩大的应用空间和长期的增长动力转化为对高级和成熟节点的非常强劲的需求。在这种持续强劲的需求下,我们预计Logic System的收入将同比增长20%以上。在记忆中,我们也预计今年我们的业务将继续增长。客户表示,系统正在以更高的利用率水平运行。由于客户正在进行技术过渡以支持预期增长,因此预计需要增加更多容量。随后,预计这将触发设备需求。因此,我们似乎很可能在2022年看到内存市场对光刻设备的强劲需求,系统收入同比增长约25%。客户采用了EUV,随着客户对EUV的信心不断增强,这将转化为他们的下一个节点中更多的层,用于逻辑生产以及在内存中的采用。我们预计将发货约55个系统,其中6个系统的收入将因快速发货而推迟到2023年。尽管发生了这种转变,我们预计2022年我们的EUV系统收入将增长25%。在我们的DUV和应用业务中,我们预计浸入式和干式系统的增长,以及对计量和检测系统的持续需求。我们预计非EUV发货收入的收入增长超过20%。我们预计我们的客户群管理业务将进一步同比增长约10%,因为随着我们客户群的增长,对服务的需求将继续扩大。此外,随着越来越多的系统开始在批量生产中运行晶圆,我们预计EUV对服务销售的贡献将会增加,并预计巨大的需求展望2025和2030这十年都是关于分布式计算的,使云更接近边缘设备,通过连接,我们所有人都可以在设备上获得计算能力,从而实现一个互联世界。电子行业的这些全球大趋势,在一个利润丰厚、创新能力极强的生态系统的支持下,预计将继续推动整个半导体市场的增长。这意味着先进和成熟节点的晶圆需求都会增加。全球各国争取技术主权的努力,预计将推动资本密集度上升。这意味着,随着光刻支出的增加,预计该行业将在晶圆产能方面进行重大投资。半导体终端市场,如汽车、数据中心、工业和消费电子产品,预计至少在2025年之前将同比增长7%以上,这将推动我们基于EUV组合增加的业务强劲增长,而对DUV的需求预计将在所有波长保持强劲。为了实现这一目标,我们和我们的供应链合作伙伴正在积极增加和提高产能,以满足未来客户的需求。2021年9月29日,我们在投资者日上展示了我们向上修正的2025年长期增长机会,由于过去两年我们看到了数字化的快速发展,我们在低端和高端市场重新建模了之前的销售情景。预计客户强劲的资本支出增长将持续下去,预计光刻资本支出复合年增长率为13.8%(2017-2025年)。这与我们在2018年投资者日上显示的同期先前预期的复合年增长率7.5%的预期形成对比。根据不同的市场情景,我们相信我们有机会在2025年达到约240亿欧元至300亿欧元的年销售额。升级,特别是在EUV中,因为客户利用升级作为增加容量的快速方法。2022年第一季度的总净销售额在33亿欧元至35亿欧元之间。上述趋势受到风险和不确定因素的影响。更多内容请参阅:有关前瞻性陈述的特别说明。


ASML年度报告2021年49 1.毛利率%符合美国公认会计原则2025年后的趋势,我们还宣布,我们认为增长机会将继续存在,根据第三方研究和我们的假设,我们预计我们的系统和安装基础管理将在2020-2030年期间提供约11%的年销售额增长率。我们的销售潜力主要基于假设的有机增长。我们不断审查我们的产品路线图,并不时进行有针对性的收购或股权投资,以增强我们提供的产品的行业协同效应。基于此类审查和对明确的潜在产品和价值协同效应的评估,我们还可能在未来评估和实施重点并购活动。在这一增长雄心内,我们预计将通过不断增长的年化股息和股票回购相结合的方式,继续向我们的股东返还大量现金。最后,我们寻求不断提高我们在ESG可持续发展关键绩效指标上的表现,并在2022年根据我们的ESG可持续发展战略路线图更新升级KPI,以加快与我们的合作伙伴密切合作的进展。更多内容请阅读:我们在半导体价值链中的位置-我们的战略。2025年低端市场2025年高端市场EUV 0.55 NA EUV 0.33 NA DUV浸入式DUV干式总计5 48 70 190 313光刻系统总需求EUV 0.55 NA EUV 0.33 NA DUV浸入式DUV干式总计5 70 87 290 452总光刻系统需求系统销售安装基数管理总计180亿欧元60亿欧元240亿欧元ASML销售系统销售安装基管理总计230亿欧元70亿欧元30亿ASML销售毛利率:54%-56%


ASML年度报告2021年50环境我们致力于从我们的运营和产品和服务的使用中减少我们的环境足迹。


ASML年度报告2021年51气候与能源1,689 TJ能源消耗92%可再生电力39.4kt净排放足迹(范围1和2)0.5kt价值链排放强度(范围3)每欧元m收入我们致力于尽可能降低我们的碳足迹,以在我们的业务中实现净零排放。在提高产品生产率的同时,我们也在努力提高产品的能效。气候变化是一个全球性挑战,需要包括我们在内的每个人都采取紧急行动。将气温升幅控制在远低于2摄氏度的挑战是一项全球责任。在ASML,我们致力于减少我们的碳足迹。在碳足迹方面,我们确定了三个影响领域:我们办公场所使用的化石燃料的直接排放(范围1),我们办公场所的电力消耗(范围2)的间接排放,以及我们价值链(范围3)中客户对我们产品的上游供应链和下游使用的间接排放。在我们的碳足迹战略中,我们已经确定了我们的雄心,并在所有三个领域设定了目标。我们正在对我们自己的业务(范围1和2)的二氧化碳排放承担直接责任,我们的目标是到2025年实现二氧化碳净零排放。我们还认识到,我们的足迹超出了这一范围,延伸到我们的价值链(范围3)。我们对范围3排放的主要影响是我们产品的碳足迹,我们的目标是通过提高产品的能源效率和生产率来减少产品的碳足迹。我们使用与气候有关的财务披露工作队(TCFD)的评估指南来确定和评估与气候有关的风险和机会的影响。更多阅读:我们的TCFD建议:与气候相关的披露,可在www.asml.com上获得。根据过去几年的碳足迹战略,我们在减少范围1和范围2的碳足迹和能源消耗以及完善范围3的计算方面,在业绩和成就方面取得了重大进展。尽管我们看到了许多积极的结果,并正在取得进展,但我们也意识到,我们还没有做到这一点。我们的范围1和范围2碳足迹战略建立在三个原则之上:尽可能减少能源消耗,只使用绿色可再生能源,除非没有其他可能或合理可行的解决方案,以及补偿剩余排放。


ASML年度报告2021年52我们的目标是到2025年实现范围1的碳中和,我们的目标是通过执行我们在总体计划中定义的超过25个项目,增加我们现场的可再生能源生产,优化我们的m2的使用,将我们的员工重新安置到更节能的办公室(BREEAM认证),并实施剩余排放的抵消战略来实现100 TJ(或2.5kt)的直接节能。节能总体规划的主要内容是提高技术设施的能效,改善我们作业的能源管理,以及增加我们自己的可再生能源的生产。下表列出了排名前三位的关键项目。主要项目总体估计节能-年度(以TJ为单位)估计范围1减少:中性气体(以TJ为单位)估计范围2减少:电力(以TJ为单位)能源电网50-40-10实施绝热加湿和消除蒸汽发电12-12 0空气变化减少(可行性研究)200-20关于范围2,我们的目标是增加从荷兰厂房附近生产的可再生电力直接购买绿色能源(所谓的捆绑可再生电力)的份额,并减少证书的份额。对于美国和亚洲,我们的雄心是购买可再生能源属性证书(分别是REC和IREC),并监测这些国家的可再生能源的发展。我们的范围1和范围2的减排目标与将升温控制在1.5摄氏度以下所需的减排目标一致,并得到了基于科学的目标倡议(SBTI)的批准-在“近期”类别下。我们认识到,对环境的影响超出了我们的运营范围。一般来说,在我们的价值链(范围3)中,能源消耗对环境的大部分影响来自我们供应商(上游)的温室气体排放和我们客户(下游)对我们产品的使用。结果表明,来自上下游价值链的间接排放(范围3)约占总排放足迹(范围1、2和3)的98%。其中,价值链中的间接排放,“下游”类别--我们客户现场销售产品的使用--占近65%,而“上游”类别--与我们购买的商品和服务相关的排放--占30%。我们范围3的其余5%的排放与交通、商务旅行和通勤等活动有关。与2019年0.55的基线相比,我们2025年的范围3目标是降低强度水平。强度是通过将范围3的总排放量(以千吨计)归一化为总收入(以百万欧元为单位)来衡量的。考虑到产品组合的变化(销售的EUV系统数量增加),以及我们生产的产品单位产量预计将增加,整个价值链的整体排放量预计将上升。我们的供应商可持续发展计划是减少上游足迹的关键推动因素。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。通过执行我们的产品能效战略,我们可以减少我们的下游足迹。更多内容请阅读:产品能效战略。


ASML年度报告2021年53我们在2021年取得的成就,我们将我们的环境报告范围从之前报告范围内的20个地点扩大到57个地点-覆盖全球95%以上的二氧化碳排放量-覆盖了我们约90%的排放量。扩大的范围使我们准备好在不久的将来针对以科学为基础的目标原则进行报告。我们的增长和报告范围的扩大相结合,导致我们的范围1和范围2的总排放量比2020年增加了约19%。在使用可再生电力方面,我们还需要考虑扩大的环境报告范围,因此可再生电力的份额从2020年的100%下降到92%。我们的雄心不变-对于我们运营产生的排放(范围1和2),我们的目标是到2025年实现碳网中和(范围1和2)。范围1与半导体行业的同行相比,我们的能源消耗和相关的碳足迹相对较低。作为一家光刻设备制造商,我们的主要直接二氧化碳排放来自化石燃料--主要是天然气。天然气消耗的绝大部分用于建筑物的供暖和洁净室的加湿,以使其保持在设定的温度和湿度水平。有关更多信息,请参见范围1明细表。在2010-2021年的时间框架内,我们执行了近100个节能项目,累计减少了260 TJ。在同一时期,我们的天然气消费保持稳定,尽管洁净室和办公室的数量大幅增长(自2010年以来增加了10,000平方米以上)。能源电网在2021年,我们开始了一个多年的项目,实施能源电网,为我们位于荷兰维尔德霍温的办公室重新利用余热。能源电网是两个范围2状态2021:20 kt目标2025:我们整个运营范围内能源使用产生的净零间接排放1状态2021:19 kt目标2025:我们运营范围3的净零直接排放2021:8,800 kt价值链中所有其他间接排放来自制造和使用我们的产品的目标2025:降低强度管道回路,使废热在冬季可用于供暖,在夏季可用于节能制冷。这个项目,加上在我们的两个洁净室实施绝热加湿,预计将减少约170万立方米的天然气,相当于52TJ。节省能源主要是透过采用更具能源效益的技术装置和改善整体生产流程来达致。我们的努力集中在回收废热和减少我们洁净室的能源消耗上,而保持合适的条件是能源密集型的。2021年,由于在荷兰和台湾执行的项目,我们每年节省13TJ的能源。在荷兰,最大的项目已经完成,并在2021年节省了近8TJ,从现在起每年将节省约11TJ。在台湾新竹,我们在2021年通过超时优化空调系统的使用,成功地节省了3TJ的能源。范围1-天然气消费细目60%25%5%10%供暖增湿减排一般


ASML年度报告2021年54继续我们进一步降低能源消耗的努力,我们希望通过在全球五个不同地点执行约25个项目,到2025年实现100 TJ的直接节能,正如我们的节能总体计划所定义的那样。房地产投资组合作为一家公司,我们努力优化我们的房地产投资组合。优化我们产品组合中的每一平方米的使用有助于减少我们的环境足迹-节省的每一平方米都是我们不需要加热、降温、通风或照明的一平方米。在建设新的办公室和制造基地时,我们会利用这个机会使我们的建筑尽可能环保。例如,着眼于未来的发展,我们在荷兰维尔德霍温的新校区的设计非常注重可持续发展。它的设计和材料的使用将使用BREEAM指南进行可持续性能评估,得分为“优秀”。2025年,我们努力在我们运营的国家/地区的新建筑中实施最合适的绿色建筑认证--例如BREEAM、LEED和G-SEED。范围2排放电力占我们ASML使用的能源的近80%。我们的大部分电力消耗与制造芯片制造设备有关-从组装到测试光刻和其他系统-以及保持一致的气候条件,如恒定的温度、湿度和空气质量。2021年,我们在荷兰获得了一份为期10年的绿色电力采购协议,这将使我们能够实现在荷兰100%使用可再生电力的目标。对于我们在美国的用电量,我们也实现了100%的可再生能源。亚洲的可再生能源市场情况略有不同,也更具挑战性--我们正在研究各种选择,以实现我们在那里的雄心。2021年,我们在荷兰维尔德霍温园区安装了3700平方米的太阳能电池板,预计每年可提供约2.3TJ的太阳能电池板。我们计划在未来几年扩大我们在欧洲、美国和亚洲工厂的太阳能电池板份额。范围2-整体配电80%5%15%洁净室设施写字楼其他ASML于2020年与莱茵集团签署为期10年的绿色购电协议。ASML和莱茵集团签署了一项购电协议(PPA)。莱茵集团是世界领先的可再生能源公司之一,也是全球能源交易的主要参与者。根据这份为期10年的协议条款,ASML每年将从莱茵集团获得263GWh的绿色电力。这项协议使ASML更接近其到2025年实现碳中性电力的目标。电力将通过不同技术的各种可再生能源组合提供:荷兰的三个新的莱茵陆上风力发电场、比利时的一个海上风力发电场和荷兰的一个太阳能发电厂。两个荷兰莱茵风电场Oostpolderdijk和Westeem位于Eemshaven附近。海上风力发电场Noordwester 2位于比利时泽布吕日海岸外。第三个风力发电场和太阳能发电厂都位于荷兰的Borssele附近。


ASML年度报告2021年55范围3排放量我们使用《温室气体议定书》的指导来计算我们的范围3排放量,该组织为排放报告提供了广泛使用的国际标准。我们一直在寻求改进Scope 3计算的数据质量。2021年,我们又迈出了一步,通过我们的供应商可持续发展计划直接从我们的供应商那里请求二氧化碳排放数据。认识到我们依赖我们的供应商,我们也鼓励我们的价值链合作伙伴与我们合作,共同减少我们的碳足迹。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。我们的环境管理体系我们有一个环境管理体系(EMS),帮助我们监控我们的能源和排放,改善业绩,提高效率。我们的EMS被整合到我们的环境、健康和安全(EHS)综合管理系统中。我们所有的设施都是在这个EHS管理系统的基础上运行的--台南(台湾)和美国圣何塞(圣何塞)的以前的HMI地点已经成功地整合在一起。我们的EHS管理体系通过了ISO:14001认证,并按照ISO:45001的要求构建。这一认证使我们的利益相关者对我们实现环境目标的承诺充满信心。我们通过监测我们的范围1、2和3的排放来衡量我们在减排方面的进展,这代表了三个关键的绩效指标。我们参与了碳披露项目(CDP)的年度评估,这是一个非营利性的全球披露计划,也有助于指导我们的环境倡议。在最新的CDP气候变化2021年评估中,我们的得分为C,与行业平均水平相同。强度率范围3排放趋势2,200 2,400 2,900 3,300 400 700 280 600 3,900 5,300 5,650 7,600上游(购买的货物和服务)自有业务与下游相关(销售产品的使用)强度率基线2019 2020 2021 2025预测0 2,000 4,000 8,000 10,000 14,000 0.00 0.50 1.00


ASML年度报告2021年56产品能效战略随着对增强芯片功能的需求不断增长,整个微芯片构图工艺(包括我们的光刻系统)的复杂性和能耗也在增加。广泛采用我们的EUV光刻系统的一个主要好处是能够简化图案化方案以创建微芯片最关键的层,从而减少了应用复杂的多图案化方案的需要-与多图案化工艺相比,这意味着完全加工晶片所需的整体制造能耗和材料消耗更少。然而,EUV的激光等离子体技术需要很高的电力输入,因此我们的产品能效战略重点放在EUV上。我们面临的挑战是提高产品的能效。我们为自己设定的目标是,尽管生产率不断提高,但到2025年,我们的下一代EUV系统的总体能耗要比2018年基准型号NXE:3400B降低10%。我们的第二个目标是与nxe:3400B(2018年基准)相比,同时将每一次暴露晶圆的能耗降低60%。为了实现这一目标,我们已经制定并正在执行EUV能效路线图。降低整体能源消耗EUV光源是我们目前致力于降低能源消耗的工程重点领域,因为它需要占EUV系统总能源消耗的较大部分。该路线图包括优化二氧化碳激光器的顺序,以产生用于产生EUV光的等离子体,例如,通过在系统处于空闲模式时关闭二氧化碳点火,以及减少曝光之间的二氧化碳点火。我们的长期目标是最终在两次接触之间彻底减少二氧化碳的燃烧。这需要我们的研究团队和我们的供应商进行可行性研究,以确保激光光束路径保持稳定。另一个节能领域是冷却水策略。我们与我们的供应商一起确定了使用更高温度的冷却水来消除EUV源和电子机柜中的热量的方法。这将通过再循环工艺冷却水减少冷却系统所需的能量。为了实现这一目标,我们需要确保驱动激光器等模块可以在更高的温度下运行,我们目前正在与供应商一起开发这一模块。产生EUV光EUV系统的能量消耗的很大一部分用于操作激光产生的等离子体源来产生EUV光。直径约25微米的锡熔滴从发电机中喷出。当它们移动时,液滴首先被较低强度的激光脉冲击中。然后,更强大的激光脉冲蒸发和电离扁平的液滴,产生发射EUV光的等离子体。这种使用TiN液滴从激光到EUV光的转换过程每秒进行50,000次,是最耗能的步骤。通过提高转换效率,我们可以在恒定晶片输出的情况下降低EUV系统的能耗。要做到这一点,同时确保这不会对EUV系统的其他功能产生负面影响,这对我们的研发团队来说是一个关键挑战。其他挑战包括开发能够应对更高EUV强度的材料和涂层,以及改进光学部件的热管理-这包括晶片本身,它在生产过程中通过暴露在EUV光下而升温。应对这些挑战需要在我们由客户、供应商和知识机构组成的创新生态系统内持续创新和协作。通过将总能耗绝对值降低10%,同时与基准型号NXE:3400B相比将生产率提高一倍,我们的目标是将每曝光晶圆的能耗降低60%。为了提高硅片的生产效率,我们不断致力于提高壁塞功率到EUV光的转换效率,并优化程序、控制方案和其他组件,如更高反射率的反射镜和更快的工作台。我们的大部分产品效率提升也作为我们光刻系统安装基础的升级提供。对于我们的客户来说,这有助于改善安装基础的经济价值、提高生产率并降低每片晶片的光刻能耗。


ASML年度报告2021年57我们在2021年的进展,我们测量了我们的NXE:3600D系统的能效。与其前身(NXE:3400C)相比,功耗为1.3 mW,但在30 mJ/cm2剂量下的生产率从136晶片/小时(WPH)提高到160 WPH。我们通过改进光柱的传输和改进晶片管理,减少所谓的扫描仪开销,实现了更高的吞吐量。与基准模型相比,系统能耗降低了6%。与此同时,每曝光一次的硅片道次能耗降低了37%。这表明我们正在实现我们的目标,即到2025年EUV系统能耗降低10%,每个暴露晶圆通道的能耗降低60%。2021年,我们安装了稀释系统,旨在简化和减少氢减排系统的能源使用。我们的EUV系统需要氢气来保护EUV扫描仪和光源中的光学元件。对于较新的生产舱,我们选择在使用后稀释和排放氢气,而不是燃烧它。这既节省了甲烷燃烧的能源和排放-保持氢火焰的稳定-也节省了降低冷却水需求。2021年,我们继续调查更温暖的冷却水的使用情况。我们研究了如何将其应用于驱动激光器,并通过带头广泛更新S23能源标准,开始与我们的客户和SEMI(代表半导体制造供应链的全球行业协会)接触。由于这涉及到我们供应商的硬件和我们客户的晶圆厂的设施安装的重大变化,该项目是我们长期计划的一部分,目的是到2025年(2018年基准年)将每一次晶圆通过所需的墙上插头功率减少60%。下表概述了为实现这一产出而取得的产出和能源使用方面的系统成就。DUV沉没系统NXT:1980Di NXT:2000i NXT:2050i NXT:1980Ei NXT:1960BI+PEP-B能源测量年份2015 2017 2020 2021 2021能源消耗(兆瓦)0.14兆瓦0.14兆瓦0.13兆瓦0.13兆瓦0.13兆瓦产能(WPH)275 275 295 295 250每道曝光晶圆的能耗(以千瓦时为单位)0.51千瓦时0.45千瓦时0.48千瓦时0.51千瓦时晶圆每年2,409,000 2,4092,584,200干式2,190,000平台紫外一星系统XT:860M XT:1460 NXT:1470 YS350EYS375F YS-380能源计量年度2017 2020 2020 2017 2019 2021能源消耗(兆瓦)0.07兆瓦0.06兆瓦0.11兆瓦0.01兆瓦生产能力(WPH)240 209 277n/a每暴露晶圆道次能耗(以千瓦时为单位)0.28千瓦时0.27千瓦时0.38千瓦时n/a硅片每年2,102,400 1,830,840 2,435,280 n/a平台1 EUV 20 MJ/cm2剂量EUV 30 MJ/cm2剂量系统NXE:3350B NXE:3400B NXE:3400C NXE:3600D能源测量年份2015 2018 2020 2021能源消耗(兆瓦)1.15兆瓦1.40兆瓦1.31兆瓦1.32兆瓦产能(WPH)59 107 136 160每暴露晶圆通道的能耗(千瓦时)19.49千瓦时13.08千瓦时9.64千瓦时8.27千瓦时晶圆每年516,840 937,320 1,191,360 1,401,600 1。根据SemS23标准,计算的“每年晶圆数量”假设100%的正常运行时间和100%的利用率。:


ASML年度报告2021年58 EUV的高级图案化有助于限制能源和水使用和温室气体排放的增长更先进的微芯片意味着更小的特征,这需要在光刻中使用更短的波长来制造它们。例如,对于193 nm的DUV光的单次曝光,微芯片图案的图像的最小特征达到了约40 nm的物理极限。然而,通过使用相同图案的两次或更多曝光,即所谓的多重图案化,可以通过4次曝光和附加工艺步骤以2 nm或10 nm的方式在20 nm处成像细节。在过去的几十年里,带有DUV的多重图案已经成为半导体制造的主流,但代价是必须多次经历相同的工艺步骤,这增加了生产周期时间和对环境的影响。与DUV相比,13.5 nm的EUV可以实现更高效的芯片制造工艺-由于EUV系统具有更高的分辨率,一次曝光和工艺步骤可以取代几次曝光和更少的工艺步骤来对芯片进行图案化。根据imec1进行的一项研究,使用EUV可以将一些关键层的非光刻加工步骤减少多达三到五倍-这显著缩短了生产周期时间。由于沉积、蚀刻和清洁步骤的数量较少,制造厂还受益于减少能源和水的使用。随着我们的EUV系统生产率的提高--这使得我们可以更快地制造更先进、更节能的微芯片--因此,与使用DUV的复杂多图案策略相比,使用EUV光刻每个晶片的总图案化过程的能耗将更低。我们的下一代EUV系统EUV 0.55 NA(High-NA)将进一步缩小并部分消除双重曝光计划,再次用单一0.55 NA曝光取代多次0.33 NA曝光。因此,利用EUV 0.55 nA,可以再次减少非光刻处理步骤的数量。这将有效地进一步限制每片晶片的图案化工艺的总能耗。1资料来源:M.Garcia Bardon等人,DTCO包括可持续性:电力-性能-面积-成本-环境得分(PPACE)分析,逻辑技术,IEDM2020


ASML 2021年年度报告59气候和能源关键绩效指标下表显示了关键绩效指标(KPI)和相关的2025年目标。更多内容请参阅:非财务报表-非财务指标-我们业绩指标(PI)的气候和能源及相关结果。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。KPI 2019 2020 2021目标2025年系统能效nxe:3x00 1系统-nxe:3400C nxe:3600D能耗(较基准2018年下降10%)--6%-6%较基准2018年(1.40兆瓦)产能(WPH)-136 160每道裸露硅片能耗(较基准2018年下降%)--26%-37%较基准2018年(13.1千瓦时)晶圆片-每年1,191,360 1,401,600可再生电力(占总购买电量)96.6%100.0%92.0%100.0%可再生能源属性(以千吨为单位)137 140 145按地点划分的化石燃料消耗量2维尔德霍温159 141 184威尔顿111 112 127林口0 0 0圣地亚哥46 40 40 43圣何塞0 0 5台南0 0 0其他0 8总计316 293 367二氧化碳足迹(单位:千吨)-总量3 2019年2020年2021年目标2025年范围1-我们运营中化石燃料的直接排放量16.9 15.4 19.3范围2-能源消费的间接排放141.4 139.8 165.1范围3-总价值链的间接排放量6,500.0 8,400.0 8,800.0总排放量(千吨)-总排放量6,658.3 8,555.2 8,984.4二氧化碳足迹(单位:千吨)-净3 2019 2020 2021目标2025范围1-我们业务中化石燃料的直接排放量16.9 15.4 19.3净零范围2-能源消费的间接排放量5.3 0.0 20.1净零范围3-总价值链的间接排放量6,500.0 8,400.0 8,800.0从基线总足迹降低强度比率(以千吨为单位)-净额6,522.2 8,415.4 8,839.4 1.系统-能源效率根据Semi S23标准衡量,并按我们系统的100%生产力衡量。2.自2021年以来,圣何塞、台南和其他地区一直在这一指标的范围内。“其他”包括总时长超过250 FTE的地点。3.《温室气体议定书》--该组织为排放报告提供了广泛使用的国际标准--的指导意见被用于计算排放范围。基于市场的换算系数用于计算范围1和范围2的二氧化碳排放量,单位为千吨。为实现联合国的可持续发展目标,我们在本章所述的雄心壮志、承诺和计划有助于实现以下可持续发展目标。有关业绩的更多信息,请参阅:非财务报表-非财务指标-气候和能源。SDG目标我们如何衡量我们的绩效SDG目标13.1-增强对所有国家与气候相关的灾害和自然灾害的恢复和适应能力·我们产品的能效按晶片通行证衡量·可再生电力战略·范围1和2排放·优化房地产以提高能效:


ASML年度报告2021年60最大限度地减少浪费和最大限度地利用资源,以从我们使用的材料中获取最大价值,并在产品的整个生命周期中重新调整其用途。循环经济每欧元产生305公斤垃圾收入77%材料回收率90%仍在使用的ASML PAS 5500系统(占总销量)12亿欧元零部件再利用价值我们致力于循环经济,并确保我们使用的任何材料为我们和我们生态系统中的合作伙伴保留和创造尽可能多的价值。为了最大限度地减少浪费和最大限度地利用资源,我们专注于三个核心战略:·在我们的运营中减少浪费·重复使用安装基础上的部件和材料·通过翻新回收成熟的产品我们循环方法的基石是我们产品的模块化设计。它使我们能够在客户现场将系统升级到更高的性能级别,而不必更换整个产品。在最先进的芯片制造工厂使用系统后,我们可以通过翻新系统来进一步延长产品的寿命,将其重新用于其他客户和半导体环境。由于我们的方法,我们在整个产品组合中销售的近94%的光刻系统仍在客户现场使用,这突显了我们为循环经济做出贡献的能力。我们的循环经济方法减少回收再利用计划废物回收材料零件供应ASML客户废物处理收集器上游中游下游AS-新计划延长ASML系统的使用寿命现场升级现场维修


ASML年度报告2021年61在我们的运营中减少废物在我们的运营中,主要的废物流是:·非危险废物,如包装材料,因升级或缺陷而产生的部件产生的与产品相关的废物,以及一般废物。这一类别还包括建筑活动产生的建筑垃圾。·危险废物,例如我们在制造过程中使用的化学品废物流分布(总计:5878吨)71%6%22%1%非危险废物回收危险废物处置非危险废物处置非危险废物非危险废物占2021年我国总废物的93%(5483吨),其中绝大多数通过回收被转移。我们通过几个持续进行的计划减少了非危险废物,例如:·循环IT生命周期:在使用四年后,我们让所有正常运行的计算机和笔记本电脑获得了第二次生命。对于有缺陷的计算机,我们回收干净的、分离的回收塑料、铁、钢、铜、铝、玻璃和贵金属。这导致了超过30,000公斤的材料回收,与2020年的24,000公斤相比大幅增长了25%。·灵活的洁净室:这些洁净室可以在不同地点之间移动并快速组装,同时提供与我们目前固定的洁净室相同的标准和性能。在灵活的洁净室设置中使用的材料中,超过95%的材料可重复使用,使用寿命超过30年。2021年,我们对五个服务仓库使用了灵活的洁净室概念。·其他例子是我们的员工发起的本地垃圾减少倡议,例如塑料回收和在洁净室使用可重复使用的手套。我们为自己设定了两个目标,以减少我们的浪费足迹。第一个目标是在2025年将我们的浪费强度-每欧元百万收入产生的垃圾量-比基准年2019年降低50%。第二个目标是到2025年将我们的材料回收比例提高到85%。这些目标包括危险废物和非危险废物。为了实现这些目标,我们正专注于循环采购,提高整个公司的意识,实施(流程)效率和改进项目,并支持员工的倡议。我们优先考虑尽可能减少、再利用和回收我们的废物的解决方案,而不是将其送往焚烧厂或垃圾填埋场。我们的结果和进展管理我们运营中的废物是一个复杂的问题,有赖于对进出ASML的废物流有详细和准确的了解。我们通过适当的分类、分类和安全处理来管理我们的废物。尽管我们已经制定了监控和测量离开我们场所的废物的程序,但要洞察我们客户的废物流要困难得多。2021年,我们在现场的活动中产生了5878吨垃圾,其中77%被回收(2020年为85%)。与2020年相比,垃圾总量增加了近12%(从5,257吨),这主要是由于我们的报告范围从2020年的20个地点增加到2021年的57个地点,以及公司的增长。需要确定和实施扩大范围的废物减少方案,目标是2022年。


非危险废物分布(总计:5,483吨)35%25%12%6%6%5%4%3%4%木材一般废纸和纸板电子金属其他非危险废物塑料有机废物建筑废物危险废物分布(总计:395吨)93%4%2%1%其他危险废物(如包装、过滤器、灯具等)清洁擦拭电池·建筑垃圾:随着我们扩大业务,我们努力确保建筑活动中的垃圾尽可能得到回收利用。建筑废物占2021年产生的废物总量的3%(199吨)(2020年为4%),其中85%被回收利用。2021年,我们在维尔德霍温园区增加了三个工作中心和一个物流仓库。在我们的房地产投资组合管理中,我们应用了BREEAM标准,强调通过材料的循环使用实现可持续性。例如,几乎所有来自被拆除的洒水盆的材料都在我们的新建筑中重复使用,我们将旧的洁净室套装回收到会议室的隔音墙板中。为了生产和运营我们的产品和系统,我们需要使用危险物质。2021年,危险废物占我们产生的废物总量的近7%(395吨)。其中,近88%被回收利用。危险废物可能包括灯具、电池、危险液体、危险材料的空包装以及清洁湿巾和过滤器。液体,包括丙酮和硫酸,是我们危险废物的主要来源。有害物质的使用使我们受到与环境保护(以及员工和产品的健康和安全)相关的各种政府法规的约束。其中包括危险物质的运输、使用、储存、排放、搬运、排放、产生和处置。


ASML年度报告2021年63重复使用安装基础中的部件和材料我们致力于在我们的价值链中尽可能重复使用系统部件、工具、包装和其他材料,以减少和防止浪费并降低成本。我们相信,对价值链中的所有人来说,再利用都是一个学习的机会,因此我们与客户和供应商在这方面密切合作。我们的目标是到2025年将ASML工厂和现场的有缺陷零件的重复使用率提高到95%。为了实现这一目标,我们专注于:·在开发的早期阶段通过更坚固和可修复的设计进行重复使用设计·回收运输包装和发货给我们客户的材料的重复使用·在当地维修中心进行维修,通过减少根本原因分析和维修的周期来提高部件维修产量·重新制造模块和部件,使其从现场返回到新的质量·通过拆卸获得报废部件,以重新使用子部件2021年的进展和结果我们加快了在重复使用、正规化和结构化过程的许多部分方面的努力。我们的再使用委员会由我们的首席运营官和首席技术官担任主席,签署了一项现场维修战略,在我们当地维修中心的推动下,在可能的情况下促进当地供应链中部件的维修。我们将我们的重复使用政策扩展到所有与产品相关的包装、部件、材料和工具,并创建了一个专门的跨行业重复使用部门,以在全球范围内推动这一变化。无论从现场返回的部件是正常运行的、有缺陷的还是未使用的,我们都在努力使它们在与新部件一样好或比新更好的情况下重新投入使用。我们通过加强我们的供应商可持续发展计划进一步巩固了我们的重复使用承诺。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。我们已经开始对NXE:3400 EUV系统进行生命周期评估,以获得设计、开发和制造碳足迹更低的光刻系统的相关见解。在这次评估中,我们应用了生命周期评估模型来计算废物和减少废物活动的影响,该模型是我们在2020年开发的。我们计划在2022年对我们的NXT和EXE光刻系统进行类似的评估。2021年,我们缺陷部件的重复使用率为85%(2020年约为86%)。通过回收节省材料我们在美国圣地亚哥的回收计划专注于重复使用不断流动的退回部件。该计划包括回收设计,提高重新使用和修复组件的能力,以进一步增加部件和材料的循环度,以便它们可以重新用于备件或合并到新的系统构建中。这个项目已经成功运行了十多年。2021年,我们实现节材37.5万公斤以上。2021年,我们将再利用作为防止浪费的关键要素,将其整合到我们的产品生成流程(PGP)中,这将有助于我们实现长期目标。我们的可重复使用设计方法包含五个要素--可靠性、可访问性、可替换性、可维修性和可再制造性--以实现部件在整个产品生命周期中的重复使用。这意味着重用要求现在是产品设计策略和规范的一部分。例如,通过我们产品及其部件的模块化设计,我们确保未来的升级、耐磨部件和部件可以作为一个单元进行更换。通过设计部件的共性,它可以在产品的多个环境中使用,甚至可以在未来的产品代中使用。2021年,再利用部门的重点是将再利用嵌入到我们的新产品实施(NPI)计划中,并在我们的‘反向流动’(从现场返回给我们或我们的供应商的材料)中推动废物减少。继续努力解决重复使用执行中的瓶颈问题,并澄清整个业务的方向、指导方针和“重复使用规则”。我们还在寻求进一步完善我们的废物报告数据。当模块和系统从我们的供应商发货到我们的工厂,或者从我们的工厂发货到我们的客户时,需要使用许多运输材料,如包装、锁定和部件,以确保产品安全到达。这些所谓的辅助部件(插头、盖子、夹子、盖板、法兰、辅助支架等)在到达时被移走。这些垃圾不是扔掉,而是在使用级别(最高级别的重用)重新使用,从而防止它们最终成为垃圾。在将这些部件送回重新使用之前,它们会经过识别过程和质量检查,然后是将它们卖回给原始模块供应商或ASML所需的物流和财务流程。


ASML年度报告2021年64我们正在改进来自现场和工厂的包装、锁定和运输材料的重复使用,目标是在下一次安装或搬迁中退回和重复使用80%或更多。2021年,超过4300吨运输材料被重复使用,高于2020年的近4000吨。维修中心我们正在扩大服务部件和材料的本地维修中心,并建立全球工厂材料维修中心。目前在韩国、台湾和中国都有当地的维修中心,并计划让我们所有的客户地区最终都有一个或多个维修中心。我们还将在威尔顿和圣地亚哥(美国)、林口(台湾)和维尔德霍温(荷兰)的每个工厂中心建立全球维修中心。通过支持维修和重复使用活动,并拥有现场维修的所有权,我们能够减少物流时间、零部件库存和对环境的影响。当一个部件被重新使用时,我们的客户期望它与原来的新部件一样好,甚至更好。我们为“新”零件设定了高质量标准,并期望供应商参与进来,以达到这些标准。此鉴定标准和要求与新部件的鉴定标准和要求相同,这意味着适用相同的规格、性能要求、保修等。我们现在有超过25家供应商正在进行的75个‘As-New’版本项目。我们的目标是在我们的系统中增加AS-新模块的使用,以防止功能良好的部件和模块被不必要的报废。再利用挑战和路线图我们在再利用方面取得了很大进展,并致力于继续减少废流。建立一种重复使用的思维模式,并将其应用于正常的工作方式,对于实现重复使用和防止报废至关重要。例如,通过将工厂中的废纸箱替换为我们现在所称的“再利用回收角”,我们鼓励员工将用过的部件视为有潜力的,而不是被视为废物。然而,要完全嵌入我们的重用愿景,有几个挑战需要克服,还有一些流程需要定义。其中包括:·配置控制:在系统中重新使用新部件需要这些部件的可追溯性。这意味着我们需要能够追踪它的历史,它来自哪里,并知道它被使用和修复了多少次。·组织:在我们的运营中,有各种与退货和重复使用相关的独立流程。我们需要将这些与整个端到端重用流程流保持一致。·维修工程和流程:我们新重点的一部分是建立对可重复使用设计的认识,并定义有关如何在重新设计和工程更改中包括重复使用的流程。2021年,在配置控制下,我们通过提高部件的可追溯性降低了我们所说的“生命周期中断”的风险。我们打算在2022年第二季度末完成这一改进,解决我们目前4%的部件中存在的生命周期中断问题。我们还提供了一些新的重复使用执行流程,例如‘在供应商收获’,使我们能够向供应商发送采购订单,以获取嵌入到我们的采购和物流流程中的部件。作为下一步,我们定义了五个优先事项。这些措施包括新产品之前的再使用规划、供应商再使用激励和自主性、高质量的逆向物流、在我们的产品生成流程(PGP)中进一步嵌入再使用,以及在ASML和供应商之间发起再使用变更和沟通活动。通过翻新回收成熟的产品一个维护良好的ASML光刻系统可以持续数十年,并可供多家工厂使用。许多ASML光刻系统从尖端的制造厂开始--一旦制造厂需要升级,光刻系统就会在制造商需要相对不那么复杂的芯片(如加速计或射频芯片)的制造厂获得新的生命。我们成熟的产品和服务(MPS)业务专注于以下产品系列的翻新:PAS 5500(在全球客户地点约有1800个系统)、TWINSCAN XT系统,以及截至2021年的NXT:1950-1980系统。我们的翻新战略侧重于回购不能在现场运行的系统,从退役系统中收集部件,并管理备件的持续可用性,这是我们为系统提供延长生命周期服务的关键。我们为我们的客户提供至少到2030年的保证服务路线图。这意味着,他们维护系统所需的所有支持以及必要的服务和备件预计将至少在2030年及以后可用。对于仍在运行的TWINSCAN AT系统,我们将重点放在采取措施,通过尽最大努力确保尽可能长时间地提供备件,来主动管理其寿命结束。我们在2021年的表现和进步ASML的PAS 5500平台在推出30年后仍然充满活力。目前,我们建造的PAS 5500系统中有90%仍在使用中,


ASML年度报告2021年65在亚洲推出MPS客户门户基于网络的部件订购门户对成熟产品和服务(MPS)的商业模式起到了重要作用,在控制成本的同时提供了最佳的客户体验。2021年6月,继在美国和欧洲取得成功后,在线MPS客户门户网站在亚洲上线。该门户旨在促进ASML的可计费和批量部件合同(VPC)部件销售。与以地区枢纽为基础的物流服务相结合,它为我们的客户创造了一个高效而有价值的销售渠道,最大限度地减少了手动步骤和潜在的延误。根据地点的不同,客户可能会在几天内送货,甚至--如果是台湾和韩国的加急订单--几个小时内就会送到。无论是作为翻新的工具还是以其原始配置。PAS平台被用于广泛的利基应用,从传感器到功率芯片,甚至是改变生活的植入式医疗设备。到2021年,我们已经翻新和转售了500多套光刻系统。2021年,我们庆祝了翻新的TWINSCAN第100次,这也是我们的TWINSCAN翻新计划20周年。新挑战-翻新和升级第一代NXT 2021年,成熟的产品和服务(MPS)业务线开始面临新的挑战,除了PAS 5500和XT系统外,还将翻新和升级第一代NXT光刻机。随着NXT平台确立了其作为半导体行业主力的地位,仍有200多个第一代NXT在世界各地的客户现场进行生产。为了支持半导体制造能力的急剧增长,特别是在要求不那么先进的超过摩尔的市场,ASML回购这些系统,将它们翻新成新一代系统的规格,并将它们出售给不需要更先进机器提供的规格的客户。这使客户能够购买价格诱人的工具,该工具将支持他们所需的拥有成本目标,同时有助于ASML最大限度地减少浪费和最大化资源的承诺。确保部件供应我们正在进行大量投资,以确保我们的PAS平台继续供应超过2,000个服务部件,无论是通过重新设计、部件收集策略,还是通过寻找具有相同形状、贴合和功能的替代方案。如果这不起作用,我们通常能够通过Last Time Buy确保零部件的安全--供应商在将生产切换到后续产品之前对某个部件或部件进行的最后一次调用。随着时间的推移,当部件不再可用时,我们会重新设计部件。我们跟踪我们的产品组合中的备件,看看它们是如何使用的,并确定我们预计何时会用完这些备件。对于PAS系统,我们使用此信息来更新重新设计部件的优先级。对于AT系统,我们试图通过从客户退役的系统中获取部件来继续供应部件。为了确保下一个十年的备件供应,我们需要用基于最先进技术的部件取代许多用1980年代和1990年代的技术设计的不可用部件。这涉及到对这些部件进行彻底检修。在接下来的几年里,我们已经确定并计划执行近300个部件的100多个重新设计项目。这与电子零部件尤其相关,因为电子零部件的技术发展速度比其他任何领域都要快。


ASML年度报告2021年66循环经济关键绩效指标下表显示了关键绩效指标(KPI)和相关的2025年目标。更多内容请参阅:非财务报表-非财务指标-我们业绩指标(PI)和相关结果的循环经济。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。KPI 2019 2020 2021目标2025产生的总废物归一化为收入(公斤/百万欧元)1 417 360 305-2019年基线材料回收的50%(占总废物的百分比)1 80%85%77%85%ASML PAS5500系统仍在使用中出售的290%90%90%n/a部件重复使用的价值(欧元,以百万为单位)n/a 1,151 1,236 1。建筑废物不包括在此指标的计算中,因为这些废物不是由ASML的日常运营造成的。建筑垃圾的数量多年来往往是波动的,因此可能会使该指标的趋势不明朗。2.由于2020年的定义更改,KPI以销售的PAS5500系统为基础。对于其他考绩制度,无法确定使用状况,主要是因为服务合同已经终止。为实现联合国的可持续发展目标,我们在本章所述的雄心壮志、承诺和计划有助于实现以下可持续发展目标。有关业绩的更多信息,请参阅:非财务报表-非财务指标-循环经济。可持续发展目标我们如何衡量我们的绩效可持续发展目标12.2-到2030年,实现自然资源的可持续管理和高效利用·材料回收·促进循环采购可持续发展目标12.4-到2020年,根据商定的国际框架,实现对化学品和所有废物在其整个生命周期中的环境无害管理,并大幅减少其向空气、水和土壤的排放,以最大限度地减少其对人类健康和环境的不利影响·RoHS/达到已使用部件的达标SDG目标12.5-到2030年,通过预防、减少、回收和重复使用·减少浪费·增加我们产品中部件和模块的重复使用·延长使用过的系统的使用寿命·重复使用包装


ASML年度报告2021年67社会我们的目标是在社会中发挥积极作用-为我们的员工、我们周围的社区以及参与我们的创新生态系统和供应链的每个人。


ASML年度报告2021年68员工30,842 FTE员工总数117,230欧洲7,430亚洲6,182美国5雇主品牌排名6荷兰6台湾14韩国133美国148中国授权个人为集体利益而努力,确保我们的员工为我们工作感到自豪,并实现我们作为公司的雄心壮志。78%员工敬业度得分5.4%流失率(上市)1,包括柏林Glas(ASML柏林GmbH),这在我们的非财务报告中尚未计算,员工总数为32,016 FTE。如果没有我们敬业、多元化和高能力的劳动力,突破技术的极限是不可能的。我们的员工对我们组织的业绩和我们作为一家公司的长期成功至关重要。除了努力吸引世界顶尖人才外,我们还需要专注于帮助他们充分发挥潜力,在一个他们为我们工作感到自豪并与我们作为一家公司的雄心壮志打交道的环境中。我们在ASML继续经历着强劲的增长。在过去的五年里,我们的员工人数几乎翻了一番。尽管疫情仍在继续,但我们在2021年度过了不平凡的一年,员工数量(全时当量)增长了16%以上,收入增长了30%以上,产品产量增长了20%以上。这种快速增长也带来了挑战。我们的组织变得更加复杂,我们的员工队伍更加多样化,我们客户和利益相关者的期望也在不断增长。我们的员工认为,我们不断增长的员工队伍的需求正在发生变化,这需要一个环境和工具来支持更多样化和相互依存的团队中的协作、知识共享和自治。与此同时,我们还必须继续履行我们对利益攸关方的承诺,管理我们的日常挑战,以吸引、加入、发展和留住我们的人才。我们已经通过阐明我们的目标、愿景、使命、价值观和领导期望奠定了坚实的基础。为了在未来保持成功,我们研究了我们的优势如何转化为我们目前的现实。因此,我们将我们的员工愿景定义为:我们相互赋能,繁荣发展,推动我们的增长、幸福和商业成功。ASML的人的愿景阐述了我们对未来的雄心,支持我们的价值观和我们所代表的东西。在这一愿景中,整个组织的每个人都扮演着重要的角色。我们实现长期员工愿景的途径体现在我们的员工战略中。在接下来的五年里,我们的路线图将重点放在三个关键领域:·激励统一的文化,以我们的价值观为指南针,指导我们的决策和行为,以实现我们的战略·提供尽可能好的员工体验,使我们能够吸引、培养和留住最优秀的人才·使我们的领导层能够通过信任、授权和问责发挥最好的人才,通过领导信任、赋权和问责,我们推动几个关键计划,旨在为员工提供更多自主来指导他们的发展和职业抱负,并使我们的领导人能够支持公司的发展。比以往任何时候都更加统一的文化,我们需要注意将ASML的身份深深地固定在组织中,以帮助我们的员工接受我们的价值观并提供统一的方向


ASML年度报告2021年69员工体验我们相信,多元化和包容性的员工队伍提供了创新和推动业务向前发展所需的声音和观点的必要组合。我们培育了一种文化,在这种文化中,不同的身份、背景、才华和激情受到重视和赞扬。因此,我们希望在我们的所有站点为员工提供尽可能好的员工体验,使他们能够发展自己的才华,感受到尊重并尽其所能地工作,并使我们能够吸引和留住最优秀的人才。员工体验是在员工生命周期的每个阶段,从吸引人才、入职到自然流失,员工通过与公司互动而获得的所有体验的总和。为此,我们专注于雇主品牌和员工敬业度。员工敬业度取决于各种各样的因素和活动,例如人才的吸引和留住、入职经验、学习和发展、多样性和包容性、公平薪酬和劳动条件等劳动实践以及领导力。这些计划对整体员工体验的总体影响通过我们的WE@ASML员工敬业度调查来衡量。雇主品牌化随着对顶级人才的需求逐年增加,雇主品牌化是确保ASML获得这一人才份额的重要战略。我们的强劲增长意味着我们需要雇佣大量员工。具有技术背景的高技能人才在劳动力市场上稀缺,竞争日益激烈。我们看到,顶尖人才选择他们选择的雇主,而不是反过来。这是员工选择未来雇主的一般发展过程,对员工来说,潜在雇主拥有合适的价值主张是很重要的。熟悉我们公司的战略和宗旨。我们的公司价值观--挑战、协作和关怀--确保我们所有人都在共同理解的基础上工作,这种基础可以应用于整个组织,帮助我们做出让我们忠于自己的选择。它们还允许团队讨论这些值重叠的自然摩擦区域。例如,通过确保让我们走到这一步的创始人的特质(坚持不懈、‘能做’的心态和相信一切皆有可能)与适当的谨慎程度相平衡。嵌入我们的价值观是一个持续的旅程,但我们的目标是通过每天应用这些价值观来取得成功。在我们核心价值观的基础上,我们应用六项员工原则--明确和负责、持续学习、包容、有利环境、个人成长和信任--来指导和激励我们的员工决策,以充分发挥员工的最大潜能。我们的进展除了早些时候部署的使我们的价值观现在和未来变得有形的持续举措外,我们还在2021年启动了“将价值观付诸行动”计划。与以往的独立年度活动,如“祝你安全”、“道德周”、“可持续发展周”和“志愿者博览会”不同,我们制定了一个持续的计划,包括一系列活动,通过环境、社会和治理(ESG)主题的镜头探索价值观。在每一次活动中,我们都要求我们的高级领导人概述他们的计划、雄心和承诺,以确保我们践行我们的价值观。2021年,我们围绕心理健康安全、ASML基金会、5条救生规则、畅所欲言和绿色能源等主题,开展了多项《价值观在行动》活动。ASML


ASML年度报告2021年70促进在台湾的招聘和分享创新ASML继续扩大在台湾的业务,为不断增长的客户群提供最佳的支持服务,并优化测量和检验产品的研发支持能力。为了实现ASML雄心勃勃的路线图,从市场中吸引最优秀的人才至关重要。2021年3月和4月,ASML的创新体验卡车在台湾主要大学校园的巡回旅行中上路,以促进工程师的招聘,扩大我们的客户和研究支持团队的能力。通过增强现实技术和互动体验,未来的工程师可以熟悉先进的光刻技术和高科技的EUV光刻机。我们将招聘视为一个持续的过程,并不断寻求改进和专业化我们进行招聘的方式。我们利用这些信息来微调我们的目标受众和招聘工作。我们的业绩和进步我们根据我们的主要经营地点--荷兰、美国、中国、台湾和韩国--来衡量我们的雇主品牌。我们通过监测我们在一个独立的外部雇主品牌排名中的位置来衡量ASML在外部受众--尤其是潜在员工--中的看法。我们已经为不同的当地劳动力市场制定了到2025年的定位目标。我们继续在我们的公司网站上改善我们的雇主品牌和价值观,让人们更好地了解我们作为雇主所做的事情和我们所代表的立场。与2020年相比,2021年,除了美国以外,几乎所有主要地区都出现了良好的改善,这可以从受访者在学习领域、大学和地理位置方面的组合来解释。然而,我们在美国的业务被列入了《新闻周刊》与最佳实践研究所(BPI)合作的2021年最受欢迎工作场所100强排行榜。该排行榜直接关注员工对雇主的好感程度。我们很高兴获得这一认可,因为我们努力为员工创造尽可能好的员工体验。阅读更多内容:2021年我们的人员KPI,旅行限制和大型团体聚会限制了我们与未来人才面对面交流的能力。各种计划的活动要么被推迟,要么被改编成虚拟空间。互联网比以往任何时候都更是交流的最佳平台。我们的劳动力市场沟通团队正在不断努力,优化我们在网上接触、告知和吸引目标受众的方式。为了充分利用招聘工作,我们为职位发布提供便利,并管理ASML在在线社交网络渠道上的存在。我们还通过在线广告宣传ASML雇主品牌。员工敬业度员工敬业度对我们组织的绩效和公司的长期成功至关重要。我们使用WE@ASML员工敬业度调查来衡量我们的活动对整体员工体验的总体影响。We@ASML调查我们的年度WE@ASML调查是收集和衡量员工反馈的重要工具。它提供了洞察力,使我们能够改善员工体验,并在我们的政策和流程上工作。我们为自己设定的目标是实现员工敬业度得分至少与同行持平。在整个新冠肺炎疫情期间,阿斯麦的员工为继续我们的业务、服务我们的客户和确保我们的路线图做出了令人钦佩的工作。我们知道他们经历了流行病疲劳症、混合工作和我们员工基础的快速增长以及客户需求不断增加的压力,我们预计这将影响我们的员工敬业度得分。为了了解这些影响,并让我们能够制定改善行动,2021年的调查提出了更多关于幸福感主题的问题。为了衡量我们的价值观在组织中的根深蒂固程度,调查还包括了关于我们的文化和价值观的问题,这些问题超出了“什么”到“如何”的范围。我们的表现和进步我们成功地在充满挑战的环境中创造了一个积极的工作环境,但在我们的关键改进领域没有取得可衡量的进步。在我们的2021年We@ASML员工敬业度调查中,我们再次看到了良好的结果,并收到了宝贵的反馈


ASML 2021年年度报告71有待改进。2021年参与度调查得分为78%(2020年为80%),比我们76%的外部全球基准高出2个百分点。总体而言,我们得出的结论是,ASML仍然拥有高度参与度的人群。人们为为ASML工作而感到自豪。其他我们得分较高的领域是,例如,良好的工作环境,良好的团队精神,尊重和开放的沟通,以及学习和成长的机会。然而,正如预期的那样,由于2021年的动态,参与度分数下降了。制定防止经济进一步下滑的行动计划是我们的当务之急。尽管我们不断关注和执行改进行动,但我们仍然看到2020年和2019年调查的三个领域,即:支持流程、跨团队协作和预期的清晰度,因为我们的得分仍远低于外部基准。2021年的结果也表明,我们需要更加关注福祉。解决这四个领域是我们2022年的重点工作。人才吸引和留住我们在2021年雇佣了4,373名新的薪资员工,到年底我们的员工人数增加到30,842人。与2015年底雇佣的14,681名全职员工相比,我们的员工数量增加了一倍多。虽然自然减员可能会打开公司的知识缺口,但我们也将其视为引进新人才和提高现有人才的机会。我们努力保持健康的流失率(员工离职的百分比),目标是每年的流失率为3.0-8.0%。对于高绩效员工,我们的目标是员工流失率至少比总流失率目标低50%。2021年,我们的整体流失率为5.4%,高绩效员工的流失率为2.6%,两者都在我们的目标范围内,低于我们运营的每个国家的行业平均水平。2021年,员工流失率从2020年的3.8%上升至5.4%,这一年受到新冠肺炎疫情的影响,当时人们不太倾向于寻找其他工作。我们将这一增长归因于疫情的影响,许多行业的全球员工短缺,以及提供大量就业机会的蓬勃发展的半导体行业。尽管如此,我们认为,我们为创造独特的员工体验所做的努力、我们的员工敬业度计划以及新员工的入职培训都得到了回报。员工S(FTE)流失率我们的劳动力趋势13,991 16,219 20,044 23,219 25,082 28,7472,656 2,997 3,203 1,681 1,399 2,095 16,647 19,216 23,247 24,900 26,481 30,842薪资员工(FTE)临时员工(FTE)总流失率2016 2017 2018 2019 2020 2021 0 5 000 10,000 15,000 25,000 30,000 35,000 0 1 2 3 4 5 6 7 8 10 10入职随着我们的全球劳动力呈指数级增长,入职是我们的主要优先事项之一。2021年,我们迎来了3万名员工。积极的入职体验建立了一种连接感,帮助员工快速适应,并提高了员工的忠诚度。我们相信,入职是一项共同的努力,由每个人推动。随着2021年新冠肺炎疫情的持续,我们的新员工入职仍然是虚拟的,为新同事提供尽可能好的开端。例如,ASML入职活动是人力资源部组织的半天介绍活动,目的是让新同事感到受欢迎,了解更多关于ASML的知识,并与其他新同事建立联系。在小组中,新同事一起工作,了解ASML的产品、技术、组织、客户和计划。业务部门和职能部门继续建立在我们的全球入职计划基础上,确保我们在整个公司提供一致的体验,进一步为不同的部门量身定做。上图中2020年和2021年的FTE不包括通过收购柏林Glas(ASML柏林GmbH)获得的FTE。


ASML年度报告2021 72为了衡量新员工如何评价他们的入职体验,我们在他们入职过程的每个阶段进行了脉搏调查,从感觉到受到欢迎、参与、装备,到感觉到他们是ASML的一部分。平均而言,89%的新员工表示他们有积极的经历。他们也认为在入职期间从经理那里得到的支持是非常积极的。我们感到自豪的是,我们的经理采取了额外的努力,以确保在远程工作时获得积极的入职体验。在一个创新、高科技、快速变化的行业中学习和发展,加强并不断投资于我们的人才库,以预见不断变化的业务需求和劳动力市场的发展,是至关重要的。我们使我们的员工能够发展他们的才华,追求他们的职业抱负,并取得成功。我们坚信,当我们的员工能够对自己进行投资时,个人发展会取得最好的效果。在ASML,我们为员工提供时间、机会和支持,同时他们投入必要的努力、激情和动力来促进他们的发展。我们提供量身定制的培训和发展计划,以帮助培养我们在ASML雇用的高技能专业人员。培训为了保持我们的技术领先地位和创新步伐,我们需要确保我们的员工在正确的时间获得正确的知识。为了做到这一点,我们拥有自己的内部技术开发中心,为我们的研发、客户支持和制造员工量身定做培训,以满足这些部门的特定技术需求。鉴于我们协作创新业务的性质,我们的大部分培训都是在工作中进行的。总体而言,我们正在推广70-20-10学习干预方法,这意味着70%是在职学习,20%是通过教练学习,10%是通过培训课程学习。2021年,包括发展计划在内的最后一个类别的平均培训小时数为每FTE 29小时。2021年,我们继续在可能的情况下增加虚拟培训。我们不得不推迟一些发展活动,因为需要把不同的部门和国家聚集在一起,这些活动具有很强的联网成分。由于旅行限制和不同时区,这些活动是不可行的。此外,我们继续致力于重新设计具体的发展计划,以在远程培训和面对面培训之间建立有效的组合,将来自不同地点的人聚集在一起,并使培训更易于在线接受。职业发展机会我们正在不断探索如何改进我们如何帮助员工在ASML中寻找职业发展机会。我们提供各种职业道路,并有各种工具来支持我们的员工的职业导航。两年前,我们开始讨论和思考我们的绩效管理方法和理念如何更好地与我们的文化和价值观保持一致。这构成了对公司绩效管理未来的更广泛展望的一部分。与我们的执行委员会一起,我们开始定义如何更根本地做到这一点。2021年,我们努力重塑绩效管理流程,并将其嵌入到新工具中,该工具于2022年1月上线。多样性和包容性我们为成为一个文化多元化的组织而感到自豪,拥有来自122个不同国籍的员工。多样性和包容性增强了我们的创新能力、创造性和解决问题的能力,并提供了一个让员工感受到价值、挑战专业成长并为我们的共同目标做出贡献的环境。自2020年以来,我们一直在制定和正规化我们的多样性和包容性方法。我们在2021年成立了全球多样性与包容理事会,该理事会由代表ASML采取行动的高级领导人组成,提供思想领导。理事会由管理委员会的一名成员担任主席,向管理委员会提出多样性和包容性战略,制定、促进和监测多样性和包容性倡议,并推动全公司对其目标的问责。我们的多元化和包容性战略包括以下内容:·通过让机会更可见和更容易获得来吸引更多的人才库·创建共享指标以更清晰地评估进展·确保包容性领导行为嵌入我们的文化·在我们的人才实践中包含不同的视角·为员工提供更多参与和推动其职业生涯的方式我们的目标是代表现有的熟练劳动力。创造一种环境,让所有人都感到受欢迎,知道自己的归属感,并看到摆在他们面前的职业道路,这需要组织各级的多样性。我们的目标是通过培养一种包容所有人的文化来增加我们劳动力的多样性。我们的员工调查@ASML每年都会衡量包容程度。2021年,我们的纳入得分为83%,而全球表现最好的公司的这一比例为82%。我们的目标是在持续的基础上达到或提高员工的包容性。要做到这一点,我们设定的目标是在2024年与这份比较公司名单中排名前25%的公司取得+/-3%的平杆得分。


ASML年度报告2021年73公平薪酬我们希望我们的薪酬公平和平衡。在我们的薪酬政策中,我们致力于性别平等,我们努力实现全球一致性,同时尊重当地市场的普遍做法。我们不断审查我们的薪酬与我们运营的每个地区的技术专业人员的市场基准相比如何,并在必要时改变我们的薪酬政策和水平。每年,我们都会分析薪资中的性别差异。2021年,和前几年一样,我们发现这些工资没有太大差异。更多内容请参阅:非财务报表-非财务指标-我们的员工。在ASML,我们致力于满足适当的生活工资要求,这意味着员工赚取的工资既能满足他们及其家人的基本需求,又能提供一些可自由支配的收入。我们公司拥有一支受过高等教育、薪酬水平相对较高的员工队伍。2020年,作为两年周期的一部分,我们进行了一项分析,将我们的最低基本工资与我们运营的国家和地区的当地最低工资和当地的“最低生活工资”进行了比较。我们没有发现任何差距。平均而言,我们的工资远远高于当地最低生活工资。该分析计划在2022年进行更新。劳动关系我们希望为我们所有的员工提供公平的劳动条件和社会保护,无论他们在哪里,也无论他们是固定合同还是临时合同。我们支持国际劳工组织(劳工组织)的原则,我们尊重所有雇员自行组建和参加工会、集体谈判以及参加和平集会的权利。我们努力遵守我们开展业务的每个国家的相关法律。在那些我们有员工代表的国家,我们与代表我们员工的不同组织定期进行对话。在这些对话中,话题由公司和员工代表提出并讨论。我们没有在限制ASML员工的结社自由和集体谈判自由的国家开展业务。在荷兰,我们已经申请了Metalektro集体劳动协议(CLA)的许可,以便制定我们自己的CLA。我们在全球市场的独特地位,我们的规模和增长,我们非常独特的员工群体,以及我们为交付我们的产品而带来的广泛的能力和活动,创造了我们在劳动条件下对自己方向的需求。未来ASML CLA的目的是提供一套与我们所有员工的多样性和需求相匹配的劳动条件。ASML美国多元化理事会成立于2020年,是一个顾问委员会,负责管理多元化和包容性(D&I)计划,如员工网络、多元化活动以及全美各地的认可和教育计划。2021年,多样性活动和教育工作流程以及美国多样性理事会赞助了许多外部演讲者,以提高人们对具有重要文化意义的节日和庆祝活动的更广泛认识和了解,包括黑人历史月、骄傲月、拉美裔传统月和退伍军人节。超过3,000名员工累计参加了超过15项多元化活动。委员会还支持在美国发展两个新的员工网络:黑人、土著和有色人种阴影(BIPOC)及其盟友,以及一个新的退伍军人组织。2021年,我们在全体员工和高级管理人员的性别多元化方面取得了进展。女性员工现在占我们全球员工总数的18%。与去年相比,这一改善增加了1%。我们的目标是在迈向2024年的过程中加强这一趋势。我们认为,解决这一问题的最有效方法是专注于我们现有团队成员的增长,并扩大我们人才库的多样性。我们已经制定了目标,到2024年将女性的招聘比例从2021年的20%提高到23%。我们在这一领域仍有工作要做,并已制定了侧重于女性领导层的具体目标。目前女性在这一级别的比例为8%,我们的目标是到2024年达到12%。为了实现这一目标,我们制定了一个目标,将女性领导者的招聘比例从2021年的12%提高到2024年的20%。我们相信,这些人才库将成为榜样,为更多人铺平道路。我们的雄心是让我们的员工队伍更加多样化,因为我们相信这是吸引和留住聪明人才的最佳方式之一,以帮助我们推动技术创新,以满足客户的需求。总体而言,全球STEM(科学、技术、工程和数学)人才库稀缺,招聘女性人才更具挑战性。我们的研发人员中有15%是女性。近90%的工作岗位与STEM相关,而高科技行业的同行有更多样化的、与STEM无关的工作岗位。ASML非常希望看到更多的女性现在和未来在工程和科学领域追求职业生涯。我们行业的高度专业化意味着实现这种平衡是一个长期的过程。我们正在积极参与多个教育项目,以扩大渠道,部署多项倡议,在未来的女性人才库中促进STEM教育,并继续营造一个使我们当前的劳动力能够蓬勃发展的环境。


ASML年报2021年74年的强大领导力要保持市场领先地位,就必须提供统一的方向。这意味着我们需要真正的领导层,让我们的人民清楚地知道ASML的发展方向。这为我们所有人提供了为ASML的成功做出贡献并产生影响的巨大机会,这对我们的领导人来说也是一项相当具有挑战性的工作。随着我们公司的发展,对角色和期望的清晰度的需求也在增加。领导者需要在这方面发挥作用,为员工提供角色清晰,以及明确自己的角色和责任。我们继续努力更清楚地阐述和捕捉这一点,以便我们的人民能够理解对他们的期望。我们的领导力框架于2020年推出,概述并阐明了领导者在商业领导力中的角色,在公司内部树立价值观的角色,以及作为员工的人员经理和教练意味着什么。领导力都是关于人的。领导框架·树立价值观·自我发展和更新·展现勇气·个人幸福榜样商业领袖人民领袖教练·拥有你的内容·端到端行动·建立利益相关者关系·展示商业敏锐性·连接·使能·发展·信任·创造环境·适应形势·分享愿景和设定方向·使之成为现实挑战关怀协作商业领袖个人广告2021年罗勒模特教练,我们继续部署行为能力培训、教练计划和实践指南,以激励和促进个人发展。我们有领导力课程,通过我们的潜在加速计划,我们快速跟踪我们最有前途的经理的职业生涯。这些计划确保我们的经理们意识到对他们的期望,并帮助他们发展成为更好的领导者所需的技能和能力。2021年,经过密集的协商,开始了与工会的谈判。新的CLA将与Metalektro代表的工会密切合作制定。一旦我们有了新的CLA,我们将继续在我们自己的CLA框架内与工会就劳动条件进行合作,并保持我们在各种劳工组织中的积极成员资格,如FME和PME。远程工作政策我们希望对人们的福祉、他们的生产力和工作与生活的平衡产生积极影响。在办公室工作和面对面交流可以刺激团队内部和团队间的创新和最佳协作,这也是我们工作方式的起点。在大流行期间,团队表示需要面对面地会面,共同解决问题,并朝着共同的目标保持一致。我们还认识到,忙碌的办公室可能不是专心工作的最佳场所,所以在偏远办公室安静地工作可能更适合某些任务。从根本上说,ASML相信员工自己能够最好地管理自己的工作。另一方面,经理负责有效地组织团队的工作方式和组织。这意味着员工和经理都对我们的远程工作政策下的选择负有共同责任。我们的目标是为ASML员工及其经理提供明确的指导和帮助,帮助他们在远程工作和在办公室工作之间做出正确的选择。远程工作既不是强制性的,也不是一种权利。作为一项全球指导方针,如果工作允许,员工每周最多可以远程工作两个工作日。某些工作或部门可能会有例外。


ASML年度报告2021年75确保ASML的员工安全,安全不仅是优先事项,而且是先决条件。这是我们日常工作中不可或缺的一部分,也是我们领导他人的方式。我们尽我们所能为我们办公场所的每个人提供无伤害和健康的工作条件,并确保我们的所有操作都是安全的。这包括员工、承包商、供应商、客户和访客。我们彼此依靠--我们每个在ASML工作和为ASML工作的人--分享这一承诺,因为我们共同努力,确保彼此的安全。2021年,新冠肺炎疫情的持续性影响仍然深入到每个角落,影响到全球人民和我们业务的方方面面。我们的优先事项没有改变:我们的首要重点一直是确保我们在世界各地的同事及其家人的安全。我们的第二个目标是确保我们为客户提供卓越的服务。我们遵守政府的所有指导方针和安全措施。企业危机管理团队经常向员工提供新冠肺炎的最新情况和我们的应对措施。2021年,我们在全球范围内推出了多项福祉计划,以解决在家工作的人们的身心健康和情感健康问题。我们的员工安全策略我们相信,所有与工作有关的伤害和职业病都是可以预防的。因此,我们正在努力实现零伤害和工伤相关疾病的长期目标。完全消除风险是不可能的,但我们可以在所有层面上积极主动地识别工作场所的潜在问题或担忧,并制定措施来减少这些问题或担忧。我们尽我们所能将风险降至最低,为我们的员工提供正确的保护、程序和流程以确保他们的安全是我们的责任。我们的目标是预防职业健康和安全事件。为了根据行业标准对我们的性能进行基准测试,我们使用了0.20的目标可记录事故率,这代表了世界级的性能。但我们持续的抱负是零,这推动了我们在流程、工作条件和员工行为方面的不断改进。为了实现这一目标,我们专注于EHS管理体系、安全文化和培训。一个例子是“安全Gemba步行”,经理们会参观员工的工作场所。这有助于我们提高安全绩效和加强安全文化。新的全球举重训练趋势分析和过去的举重(险些失手预期)事件和良好的接球构成了新的、专注于软技能的、游戏化的全球举重训练团队成员训练的基础。这种以人为本的有效趋势设置通过使用混合学习方法、及时的工作场所学习和现代技术,以高效和有吸引力的方式促进了安全的工作环境。本次EHS起重培训将为起重操作员提供更深入的起重工具专业安全培训框架,并为参与起重行动的其他人提供起重活动意识。通过事件报告和事件调查吸取的经验教训的结果提高了我们EHS培训解决方案的质量和影响,有助于将ASML的安全文化提升到新的水平。这些计划的影响在我们的员工对2021年We@ASML调查的回应中最为明显,在调查中,74%的员工表示,他们认为他们的经理角色以一种平衡的方式模拟了ASML的三个价值观-挑战、协作和关怀。


ASML年度报告2021年76管理安全的工作场所我们致力于建立完善的EHS管理体系。我们采用尽可能高的专业标准,持续改进是我们管理体系的关键原则。我们的EHS管理体系是以国际标准化组织45001标准为基础的,并符合其要求。我们成立了一个企业EHS委员会,由我们的首席运营官担任主席,负责监督和批准ASML的EHS战略,并领导EHS管理体系。我们的直线经理负责日常的EHS管理。我们的EHS能力中心收集最佳实践并为ASML定义EHS标准,帮助我们的经理在工作场所实施这些标准。我们的员工和产品安全承诺包含在我们的可持续发展政策中,该政策适用于ASML全球范围内。此外,我们的ASML EHS指南旨在为我们的员工、承包商和任何其他为我们工作的人提供实用、有用和必要的信息。该指南旨在创建意识和所有权,解释了我们的目的和目标,并清楚地描述了我们所遵循的规则和政策。事故和风险管理是我们的EHS管理体系的关键要素。我们记录并调查所有事件和险些发生的事件,以确定根本原因并采取纠正措施,防止它们在未来再次发生或发生。我们定期进行危险和风险评估,重点是防止员工暴露在化学品、火灾、辐射、机械操作和人体工学风险等潜在危险中。这些为我们提供了对ASML主要危险和风险领域的进一步洞察。然后,我们可以采取适当的行动来缓解这些风险。我们通过EHS内部审核确保持续改进。加强安全文化建设2020年,我们出台了五条救命安全规则,创造了更安全的工作场所,提升了我们的安全绩效。尊重和遵守这些规则不仅可以拯救生命,还可以让我们集体更多地意识到整个组织的安全风险。2021年积极和一致地部署这些规则导致了更高的认识、更好的洞察力和改进行动,如改进的程序、工具和教育。在ASML,向我们的员工和任何其他独立访问我们的办公场所和客户地点的人-包括承包商和供应商-告知我们的安全文化并提高对这些文化的认识是标准做法。培训是我们准备和告知员工这一点的方式之一。我们的结果和进展我们根据美国《职业健康与安全法案》登记与EHS相关的事件。我们的可记录事故率从2020年的0.18降至2021年的0.17,表现优于电子行业0.20的基准。可记录事故率是指每100 FTE中一年内急救以外的可记录病例数。与往年一样,我们没有记录任何与工作有关的死亡或永久性残疾。安全不仅仅是程序、规则和正确的设备,还包括人类的心态、行为、态度和习惯。遵循五项安全规则,我们部署了各种针对部门的宣传计划。例如,我们一直在D&E推出Hein®安全运动,帮助我们发展共同的安全语言和对话。研讨会和培训在许多集群中进行,有许多有趣的讨论和对我们的安全行为的见解。2021年,我们扩展了EHS基础计划,增加了新的安全培训模块。从2021年9月起,预计将在无尘室工作的新员工将必须完成EHS无尘室基础知识,这是一个旨在为新员工安全进入、离开和在ASML无尘室工作做准备的培训模块。到2021年年底,95%的合格候选人已经完成了这项强制性培训。我们还计划在2022年初对我们公司的安全文化进行全公司范围的重新评估,以验证我们的安全文化转型计划是否具有正确的效果,并对我们需要在哪里加强提供见解。为了提高我们的EHS绩效,我们鼓励员工在遇到安全风险时直言不讳。如果员工感到不安全,他们有权停止工作。与他们的经理和EHS专家一起,将定义一种安全的工作方式,以便工作能够恢复。


ASML年度报告2021年77我们的人员关键绩效指标下表显示了关键绩效指标(KPI)和相关的2025年目标。更多请阅读:非财务报表-非财务指标-我们的绩效指标(PI)和相关结果的人员。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。KPI 2019 2020 2021 Target 2025敬业度得分We@ASML调查77%80%78%与同行雇主品牌排名1荷兰10 10 6前10美国-99 133前75中国-168 148前100台湾-22 6前20韩国2 19 24 14前20 1.优信雇主品牌排名:工程专业学生。2.自2021年起,优信不再对韩国进行总体排名。2021年报告的结果是基于定制的排名报告。目标2025指的是总体排名。展望未来,我们需要根据定制的排名来定义我们的目标。为实现联合国的可持续发展目标,我们在本章所述的雄心壮志、承诺和计划有助于实现以下可持续发展目标。有关业绩的更多信息,请阅读:非财务报表-非财务指标-我们的人员。可持续发展目标目标4.3--到2030年,确保所有男女平等地接受负担得起和有质量的技术、职业和高等教育,包括大学·雇员培训和发展指标·多样性指标可持续发展目标目标4.4--到2030年,大幅增加青年和成年人拥有相关技能的人数,包括技术和职业技能,用于就业、体面工作和创业·社区参与和技术促进·发放奖学金可持续发展目标目标4.5--到2030年,消除教育中的性别差距,确保包括残疾人在内的弱势群体平等接受各级教育和职业培训,土著人民和处境脆弱的儿童·ASML基金会项目SDG目标8.1--根据国情保持人均经济增长,特别是最不发达国家国内生产总值每年至少增长7%·财务业绩SDG目标8.2--通过多样化、技术升级和创新,包括侧重于高附加值和劳动密集型部门,实现更高水平的经济生产力·人力资本投资回报·员工参与度SDG目标8.5--到2030年,实现所有男女,包括年轻人和残疾人的充分生产性就业和体面工作,同值工作和同工同酬·劳动力数据包括多样性和包容性·公平薪酬薪酬比率可持续发展目标8.6--到2020年,大幅降低未就业、受教育或未接受培训的青年比例·员工流失率·新员工可持续发展目标8.8--保护劳工权利,促进所有工人,包括移徙工人,特别是妇女、移民和就业不稳定者享有安全和有保障的工作环境·员工安全指标


ASML年度报告2021年78社区参与ASML基金会22个项目支持价值200万欧元的捐赠教育64个项目支持价值430万欧元的捐赠艺术文化14个项目支持价值150万欧元的捐赠本地推广55个项目支持价值230万欧元的捐赠作为全球技术领导者和雇主,我们在我们运营的社区中发挥着积极的作用,因为当社区蓬勃发展时,我们就会蓬勃发展。与此同时,我们的ASML基金会旨在通过教育和培训改善生活。成为社区的一部分意味着不仅要关心我们自己的员工,还要照顾我们组织之外的人。我们培养紧密的社区联系,鼓励我们的员工参与进来,尽自己的一份力量。ASML需要社区的支持才能成功,如果ASML让社区从它的存在中受益,并考虑到社区的需求,ASML就会获得这种支持。我们的目标是成为我们社区中有价值和值得信赖的合作伙伴,提高所有人的生活质量,特别关注弱势社区。我们支持18岁以下青年的技能发展,使他们为日益数字化的未来做好准备,并支持为弱势群体提供的社区服务,以及当地的艺术和文化倡议。我们从彼此的存在中受益,相互支持对方的发展。对于ASML来说,重要的是为有积极性的员工长期可持续的战略执行创造一个健康的基础。对社会来说,成功意味着我们能够弥合鸿沟,让市民和他们的环境茁壮成长。我们的社区参与计划属于首席执行官的职责范围,建立在ASML有能力并能够产生影响的三大支柱之上:1教育2艺术与文化3地方拓展ASML在2021年在慈善机构、社区参与、组织和我们自己的ASML基金会上花费的现金承诺和实物支持总额约为1,040万欧元我们的企业公民活动不仅限于社区支持,还包括对旨在培育未来年轻技术创新的初创企业和组织的实物贡献。此外,我们还通过公私合作伙伴关系的研发支持欧洲创新生态系统。更多内容请阅读:创新生态系统。


ASML年度报告2021年79教育我们为SDG 4优质教育和SDG 5性别平等做出贡献ASML认识到需要让所有年龄段的人为日益数字化的未来做好准备。STEM(科学、技术、工程和数学)能力对于帮助儿童发挥其潜力很重要,特别是在弱势社区。我们组织和赞助了许多活动,旨在分享我们对技术的热情和专业知识,以激励所有世代。我们还与多个组织和教育活动合作,促进技术领域的职业发展。我们的员工是这些计划的榜样和指南。我们通过以下方式执行我们的教育项目:1.教育团队与ASML所在社区的学校和教育项目密切合作。教育小组提供实际支持并协调ASML志愿者(我们所谓的ASML大使)网络,这些志愿者访问学校和活动,并在课程中支持儿童和学校,一些人担任兼职(“混合”)教师,一些人担任弱势儿童的家教,另一些人担任技术和STEM推动者。我们的STEM强化教育计划旨在提高年轻人对技术的兴趣,并增加当地和地区的人才库。我们还提高了人们对一个提供许多发展机会的部门的职业前景的认识。2.ASML基金会是一个独立的基金会,但与ASML有很强的联系。它的运作保持一定的距离,并有自己的董事会和预算。它旨在通过发展他们的天赋和帮助释放他们的潜力的教育倡议,提高世界各地弱势儿童的自给自足能力。更多内容请阅读:ASML基金会。2021年支持的项目2021年,我们在我们开展业务的地区(荷兰、美国和亚洲)总共支持了64个教育项目。这些项目的总价值达430万欧元。ASML向维基媒体基金会捐赠了5万欧元。这将是对维基百科背后的组织的年度捐赠中的第一笔,以确保它们的连续性,并支持它们保持为每个人提供免费和开放知识的资源的事业。根据维基媒体的指导方针,随着ASML员工的增加,这一年度捐款将随着时间的推移而增加。下面我们提供几个亮点的例子。欲了解更多信息,请访问www.asml.com-社区参与TU/e(荷兰)作为埃因霍温理工大学(TU/e)最重要的合作伙伴之一,ASML借此机会捐赠了四件价值约350万欧元的高科技礼物,以庆祝该大学成立65周年。这些将主要由该大学新成立的埃因霍温·亨德里克·卡西米尔研究所的研究人员使用。有关更多信息,请参阅创新生态系统-与研究机构和大学的合作伙伴关系部分。美国儿童探索博物馆2021年7月20日星期二,圣地亚哥儿童探索博物馆举办的科学与工程日期间,全家人都玩得很开心。ASML赞助了这次虚拟活动,该活动对公众免费开放,包括在博物馆的Facebook页面上举办的多项互动教育活动。活动包括对机器人进行编码,让其遵循一条路径,解决环境科学挑战,以及进行化学实验。ASML圣地亚哥以5000美元的价格赞助了这项活动。台湾科学教育(亚洲)在台湾,ASML与李远哲科学教育携手实施一项名为“台湾科学扎根计划”的为期三年的种子教师培训计划。将培训70多名种子教师,300名学生将通过动手体验学习基本科学知识。除了这个项目,ASML每年还赞助四个科学体验营。维基媒体(全球)合作伙伴关系·我们与Spectrum Brabant一起推出了辅导计划“平等机会”,这是一项针对Brainport Eindhoven地区中学生的免费计划,旨在解决教育方面的劣势。·我们与国家老年人基金会、VodafoneZiggo和三星建立了合作伙伴关系,通过欢迎在线数字教育项目支持老年人的数字包容,该项目旨在帮助该地区的老年人实现数字自力更生。


ASML年度报告2021年80我们为可持续发展目标11可持续城市和社区做出贡献文化是将社区人民联系在一起的无形纽带,而艺术是看得见的文化。为了加强这种联系,ASML支持对社区文化至关重要的倡议和组织,并帮助向新来者和弱势群体开放它们。我们专注于我们社区的文化图标--那些对当地社区以外有影响的组织和倡议。2021年支持的项目2021年,我们在我们运营的地区(荷兰、美国和亚洲)总共支持了14个艺术和文化项目。这些项目的总价值达150万欧元。我们将科学和艺术结合在一起,与梵高博物馆和梵高·布拉班特建立了长期的合作伙伴关系,以帮助确保扎根于荷兰布拉班特地区的艺术家的作品和文化遗产可以世世代代享受。通过这一伙伴关系,我们支持了几个项目,包括:·保护画作:与荷兰文化遗产局、阿姆斯特丹大学和梵高博物馆的保护人员合作,ASML工程师团队正在调查外部因素,如光线,如何影响梵高使用的颜料。通过利用这些知识来优化展示条件,并将藏品的进一步退化降至最低,我们有助于为子孙后代保存他的杰作。欲了解更多信息,请访问www.asml.com/en/News/Stories/2021/presding-van-goh。·文森特的光实验室:我们已经开始在计划中的荷兰纽宁博物馆文具中心的扩建中实现“文森特的光实验室”。参观者将能够了解更多关于光的知识,以及梵高如何在他的绘画中实验光。新的博物馆文物馆将于2023年开业。·ASML画廊:我们支持梵高博物馆2021年秋季展览《吃土豆的人:错误还是杰作》。这次展览是对梵高的杰作《吃土豆的人》的致敬,也是对他在布拉班特的时光的致敬。·策划者和杰作:我们与梵高博物馆一起,为中小学生开发了教育材料。艺术家的好奇心是他手艺的关键,我们与博物馆一起,鼓励学生追随他的脚步--就像我们的合作伙伴关系一样,将科学与艺术联系起来。200多个在线课程被教授,覆盖了欧洲和亚洲的8000多名儿童。辉光艺术节(荷兰)灯光是我们工作的关键,这就是我们与荷兰埃因霍温一年一度的辉光艺术节合作的原因。2021年,我们在艺术节上展示了一件与当地艺术家Gijs van Bon合作创作的特殊艺术品。这件物品是对ASML技术的颂歌,也是自由节的亮点之一,将艺术与科学联系在一起。超过58万人参观了这个节日。下面我们提供几个亮点的例子。欲了解更多信息,请访问www.asml.com-与梵高博物馆和梵高·布拉班特(荷兰和全球)的社区参与伙伴关系


ASML年度报告2021年81地方外展我们为可持续发展目标11可持续城市和社区做出贡献作为一家负责任的公司,我们希望在我们运营的社区中发挥我们的作用。通过与ASML所在地区的企业和组织合作,我们建立了信任并回馈社会。我们支持对我们的社区至关重要并将我们社区的人们联系在一起的地方倡议和组织。我们与ASML员工一起,贡献并使这些倡议具有吸引力和可访问性,我们支付特别费用我们分布在欧洲、美国和亚洲的60个地点。有了如此广泛的存在,我们与我们所在的社区接触并提供支持是很重要的。我们热情的员工为当地的项目和组织做出贡献,这些项目和组织在他们的社区中发挥了作用。作为一家公司,我们为当地的非营利组织提供赞助和捐赠资金。通过我们的全球志愿服务计划,我们鼓励员工更多地参与当地社区。每个人都可以利用一年中的一天作为自己选择的活动、慈善机构或活动的有偿志愿者日。员工也可以志愿参与ASML基金会的项目。ASML基金会是我们当地外展活动的主要合作伙伴,通过规划和资金支持其中许多活动。更多内容请阅读:ASML基金会。2021年支持的项目2021年,我们在我们开展业务的地区(荷兰、美国和亚洲)共支持了55个地方外展项目。这些项目的总价值达230万欧元。2019年,我们与其他五个来自该地区的合作伙伴一起,成为当地足球俱乐部PSV的主要赞助商。这个俱乐部位于我们当地社区的中心,是为我们当地社区的健康和社会福祉而团结的力量。通过联合起来,我们可以合作,一起做更多的事情。通过这一合作伙伴关系,我们支持了几个项目,包括:·ASML社区休息室(在飞利浦体育场):该项目旨在让每个人都能接触到足球,帮助新人在我们地区找到自己的位置,并让缺乏财力的人享受一个顶级运动之夜。我们欢迎来自粮食银行、近地天体、塞维里纳斯、救世军和其他援助机构等组织的志愿者和客户来到会场,2021年总共有1500多名客人。·在线活力平台:Brainport Eindhoven和PSV联合推出在线平台,旨在激励和激励Brainport Eindhoven地区的每个人在健康和福祉领域,为所有人创造一个充满活力和健康的地区。我们围绕七个幸福主题分享了我们的知识和专业知识。·PSV Analytics:PSV Sports Performance和ASML BAS Big Data的合作项目。该项目的目的是帮助这家荷兰顶级足球俱乐部解锁、使用和优化其收集的大量数据,并将它们转化为分析比赛计划的动态图像。这项工作激励了我们的ASML技术人员,因为我们合作并支持俱乐部与其更大(更富有)的竞争对手竞争。摩尔斯癌症中心(美国)每年,ASML圣地亚哥分校的员工都会在卢奥和冲浪传奇邀请赛上冲浪寻求治疗,该邀请赛筹集资金支持加州大学圣迭戈分校摩尔斯癌症中心的研究和患者护理。虽然由于新冠肺炎的预防措施,今年的活动看起来有点不同,但它仍然筹集了50万美元。ASML是活动赞助商,捐赠了15,000美元来帮助实现这一目标。下面我们提供几个亮点的例子。欲了解更多信息,请访问www.asml.com-社区参与。与PSV(荷兰)建立伙伴关系,注重促进融合、促进多样性和增强弱势群体的能力。


ASML基金会2021年年度报告82 ASML基金会是我们选择的慈善机构,主要专注于为有需要的年轻人提供有影响力的、包容性的教育和培训计划。通过包容性和高质量的教育和培训改善生活,是我们看待我们使命的方式。我们希望通过21世纪的终身学习和教育以及创业技能,使人们能够包容和公平地参与社会。通过开展我们对可持续发展目标4优质教育、可持续发展目标5性别平等、可持续发展目标10减少不平等和可持续发展目标17伙伴关系的贡献,基金会旨在对可持续发展目标4(优质教育)产生可持续的影响,并为可持续发展目标5(两性平等)、可持续发展目标10(减少不平等)和可持续发展目标17(伙伴关系)作出贡献。我们相信,所有人都应该接受优质教育,使他们能够在日益数字化的世界中自给自足。我们的目标是帮助参与我们支持的项目的人提高他们过上更好生活的机会。通过资助和伙伴关系,ASML基金会旨在通过教育实现包容性和公平地参与社会,从而释放有需要的年轻人的潜力。我们项目选择的多样性不仅表明纳入了妇女,而且还表明我们的目标群体可能面临的不利条件:受教育机会少、特殊教育需要或缺乏职业培训。ASML基金会希望在ASML运作的社区中有所作为。因此,它主要支持欧洲、美国和亚洲的项目和倡议,以满足该地区的特定需求。例如,在荷兰的布雷恩波特埃因霍温地区,解决文盲问题已成为ASML基金会2021年的一个重点领域。在美国,项目主要集中在防止贫困地区辍学,以及促进科学、技术、工程和数学(STEM),特别是对女孩来说。亚洲的项目因国家而异。例如,在亚洲的发展中地区,重点是女孩的教育,以减少不平等,并防止童婚。在中国,重点是农村地区女孩的STEM。2021年,基金会捐赠了约200万欧元(2020年为100万欧元),支持了8个国家的22个项目。通过这些承诺的捐款,该基金会的目标是惠及约775,000名年轻人。


ASML年度报告2021年83员工志愿ASML员工从ASML员工商店购买商品或通过捐赠向ASML基金会提供财政支持。ASML基金会还负责ASML的志愿服务计划:它协调志愿活动,并跟踪ASML员工为教育活动和其他事业做出贡献的志愿服务时间。ASML员工被允许每年抽出8个小时从事符合志愿者政策的志愿者工作;许多志愿者也贡献了自己的时间。2021年支持的项目范例有关更多信息,请访问www.asmloundation.org埃因霍温基本技能城市计划(荷兰)在荷兰,识字率较低的人的数量正在增加--例如,在埃因霍温地区,16-65岁的人中有7%有读写困难。总体而言,25%的15岁青年没有达到在社会中充分发挥作用所需的识字水平。埃因霍温市政府、当地图书馆、当地卫生局(GGD)和其他合作伙伴制定了一项计划,到2023年加强埃因霍温地区约1万名低识字率人群的基本技能--阅读、写作、计算和数字技能。作为埃因霍温基本技能城市计划的一部分,ASML基金会支持一项针对0-4岁儿童的早期预防文盲倡议。STEM-Girls Can Dit(亚洲)STEM-Girls Can Do It项目旨在为10至14岁的年轻人--尤其是女孩--促进性别均衡的STEM教育,地点在中国农村,靠近ASML在成都和西安的办事处。来自ASML当地办事处的员工一直积极参与这一合作伙伴关系,作为志愿者,在ASML的办公室举办面对面的活动,并邀请女性工程师作为榜样发现教育(美国)公平与获取数字教育资源倡议支持高质量的数字内容和有效的按需专业发展,为全美资源不足的学校提供支持,以应对新冠肺炎带来的学习损失。在美国威尔顿附近的布里奇波特,ASML基金会通过向全国课后协会提供资金支持这一倡议,使他们能够使用Discovery Education,Inc.的数字学习材料。


ASML年度报告2021年84创新生态系统121研发合作伙伴机构数量100万欧元支持高科技初创企业和规模对欧盟研究项目贡献3030万欧元我们不是孤立地创新。我们在合作伙伴和协作知识网络的帮助下共同开发技术。我们通过合作伙伴关系进行创新。我们的创新理念是,我们将自己视为架构师和集成商,在创新生态系统中与合作伙伴合作。在我们的创新生态系统中,长期合作建立在信任的基础上。我们在推动创新的同时,既分担风险,又分享回报。在与生态系统共享我们的专业知识的同时,它还为我们提供了访问广泛技术领域的大型前沿知识库的途径。我们共同建立了一个强大的知识网络,以创造社会可以利用的技术解决方案。这种协作方式使我们能够加速创新。我们通过合作伙伴关系进行创新。为此,我们专注于与研究中心的合作,通过与研究机构和大学的伙伴关系促进创新管道,并通过欧盟公私合作伙伴关系与研发伙伴合作。此外,我们相信,通过支持初创公司和团队,培育未来的年轻科技公司,我们可以在生态系统中创造更大的影响。与研究机构和大学的合作我们在大学和研究机构等广泛的技术合作伙伴网络中共同开发专业知识。我们的一些合作伙伴包括比利时的IMEC,荷兰特温特、代尔夫特和埃因霍温的工业大学,以及同样在荷兰的纳米光刻高级研究中心(ARCNL)。ARCNL从事基础研究,专注于纳米光刻及其在半导体行业中的应用中当前和未来关键技术中重要的物理和化学。与往年一样,2021年,这些伙伴关系取得了积极成果。我们在2021年取得的进展和成就,IMEC展示了一次曝光打印24 nm窄间距线的突破。使用ASML的NXE:3400B系统,结合先进的成像方案、创新的抗蚀剂和洁净室中的优化设置,IMEC展示了我们的系统如何能够在单个曝光步骤中打印间距为24 nm的线条。这项创新将使IMEC及其专注于抗蚀剂和图形制作的合作伙伴能够帮助开发和测试抗蚀剂材料,这些材料将支持我们推出下一代EUV光刻系统,即我们的EUV 0.55 NA(High-NA)平台。我们与日本另一家半导体设备公司东京电子等公司合作,进一步增强我们EUV技术的扩展解决方案。2021年,东京电子加入了我们与IMEC的合作伙伴关系,并将其领先的涂布机/显影剂引入了IMEC-ASML联合High-NA EUV研究实验室(联合High-NA实验室)。这种涂布机/显影剂将具有先进的功能,不仅与广泛使用的化学放大抗蚀剂和衬层兼容,而且还与旋涂金属抗蚀剂兼容。旋涂金属抗蚀剂表现出高分辨率和高耐蚀刻蚀性能,有望实现更精细的图案化。与新的工艺模块相结合,这将实现灵活的制造厂运营,同时还实现了更高的生产率和高可用性。我们继续密切参与由埃因霍温理工大学(TU/e)建立的高科技系统中心(HTSC),该中心旨在促进基础研究,重点了解机电一体化和机械工程行业的需求。自三年半前成立以来,HTSC已经支持了几个新项目的启动,扩大了我们与TU/e的合作范围,转向静电基础知识和光学设计的新发展。为了庆祝


ASML年度报告2021年85 TU/e成立65周年以及我们对合作的感谢,我们为新研究所和学生实验室捐赠了一套高科技纳米技术机器和服务,总价值350万欧元。2021年,我们与总部设在荷兰S-赫托根博斯的Jheronimus数据科学研究院(JADS)合作,在数据科学领域进行合作。数据科学对整个半导体行业,特别是对ASML技术来说,越来越重要。这一合作为我们提供了从年轻人才那里获得最新学术知识和新视角的途径,同时也帮助我们通过专业教育计划发展员工的技能。与赫里奥特-瓦特大学(英国)建立新的合作伙伴关系我们与来自英国赫里奥特-瓦特大学(HWU)的世界领先的学术团队建立了新的合作伙伴关系,以推动新光源技术的进步。这项为期五年的合作旨在加快基础物理研究的产业化,并为新的激光技术创造一条从实验室到市场的直接途径。ASML有着与学术界合作的悠久传统,而华威大学则以其根据商业和行业需求进行的开创性研究而闻名。这一合作伙伴关系将解决特定的现实世界工程挑战,例如,由于ASML机器中的传感器遇到的材料不同(每种材料以不同的方式吸收光),因此它们必须工作在多个波长。该团队目前的重点是用于光学计量的新的宽带光源,并建立在他们令人印象深刻的创新记录的基础上。与研发伙伴合作我们在欧盟及其成员国资助的研究和创新项目中与私人合作伙伴合作。我们开展合作补贴项目,旨在根据摩尔定律,为与行业路线图相连的下一个节点推进IC技术。地平线欧洲计划是一个公私合作伙伴关系,在应对全球挑战的同时,促进合作并加强研究和创新在制定、支持和实施欧盟政策方面的影响。通过在欧洲项目中的合作,ASML及其合作伙伴通过推动和加速欧洲的基础研究和突破性创新,在赋予该地区一定程度的主权方面发挥了作用。这种协作还能产生显著的商业价值、促进就业并创造知识。例如,ASML和各联盟中的其他成员的专利申请数量每年都在增加,这反映了合作的成功。根据我们在2021年取得的进展和成就,我们继续协调欧盟三个项目-TAPES3、PIN3S和IT2-的努力,确保及时向联网的公共合作伙伴报告,并组织在线财团会议,以交流想法和知识。2021年,在这些公私合作伙伴关系中,我们自己在研发方面的贡献为3030万欧元,我们在项目整个三年期间的总投资价值为9300万欧元,占项目资金总额4.48亿欧元。在所有这些项目中,我们与欧洲各地的大学、研究和技术机构和其他高科技公司--来自10个不同欧洲国家的20到80个合作伙伴--合作,帮助该行业转向下一代技术。2021年,ASML开始协调一个新的欧盟合作项目,称为ID2PPAC。在这个为期三年的项目中,前一个IT2项目中确定的2 nm节点的技术解决方案将进行整合和集成,目标是证明可以实现这一下一代前沿逻辑技术的性能、功率、面积和成本(PPAC)要求。为了在满足PPAC要求的同时将摩尔定律延续到2 nm节点,需要在EUV光刻和掩模、3D器件结构以及材料和计量方面取得进一步的进步。ID2PPAC项目汇集了28个领先专家合作伙伴的研发能力,以应对这些挑战-它的研发成本超过1.07亿欧元,并为生态系统释放了4890万欧元的公共资金。在地理上,该项目连接了来自奥地利、比利时、捷克、法国、德国、以色列、西班牙和荷兰的人们。


ASML年度报告2021年86欧盟研究项目合作SolMateS是由ASML牵头的欧盟项目ID2PPAC的合作伙伴。SolMateS首席技术官Matthijn Dekkers:“SolMateS是一家充满活力的创新公司,拥有45FTE,位于荷兰,为半导体市场提供设备。我们的薄膜脉冲激光沉积硬软件正在改变薄膜材料的未来。在ID2PPAC财团内,SolMateS负责IMEC 300毫米脉冲激光沉积系统的开发和安装。该系统将用于半大马士革材料的开发。与项目合作伙伴IMEC和ASML等合作,帮助SolMateS在与生产相关的环境中测试新开发的硬件。ID2PPAC联盟网络使SolMateS能够利用半导体市场合作伙伴的专业知识。该项目对公司的战略路线图和成为高科技设备领域相关参与者的雄心做出了重大贡献。“支持创业企业和规模企业培育新一代科技人才创新,也为创业和创业提供宝贵的专业知识支持。我们利用我们专家的深入能力和知识来开发和支持初创企业和规模企业。通过培养企业家精神,我们的目标是帮助这些年轻企业脱颖而出并发展壮大。我们的共同点是基于我们擅长的领域,比如构建复杂的制造系统。这是我们可以发挥作用并有所作为的地方。分享我们的专业知识是加强我们地区高科技生态系统的一种方式,特别是在我们位于荷兰维尔德霍温的总部周围。这一地区在全球具有竞争优势,我们需要确保我们保持这一地位。建立强大的地区基础不仅使ASML和相关合作伙伴受益,也使其他公司和组织受益。它还有助于吸引广泛的人才基础到该地区。通过HighTechXL,我们结合高科技创业人才和相关技术,建立和加速有影响力的初创企业。通过Make Next平台,我们的目标是支持年轻的创新高科技团队。2021年,ASML为高科技初创企业和初创企业提供了近100万欧元的实物支持。这一数额包括2100个小时的支持和40万欧元的现金。作为一家风险建筑商,ASML是HighTechXL的主要股东之一,与飞利浦、TNO、Brabant Development Agency(BOM)和High Tech Campus Eindhoven等该地区其他注重技术的合作伙伴一起。通过HighTechXL,我们将来自ESA、CERN、Fraunhofer、IMEC和TNO等知名科技合作伙伴的高科技创业人才和相关技术结合在一起,建立和加速有影响力的初创企业,目标是解决主要的全球社会挑战。通过HighTechXL,一家围绕世界上最先进的技术建立企业家和科技人才团队的创业加速器,多年来,我们与本地区其他注重科技的同行合作,支持处于不同阶段的初创企业和规模企业。我们通过客观评估和每项关键绩效指标的一套交付成果(如商业模式、财务、技术、可持续性和执行技能)来监控和评估其成熟度。我们最近几年获得的见解表明,我们过去的成功是基于与规模较大的初创企业合作,这些初创企业的成分是深度科技,而且很难找到这些初创企业。解决方案是与其他技术提供商合作建立我们自己的技术。自2020年以来,我们进一步发展了对现有初创企业的参与,并规划了一个新的重点领域,即建设自己的深度科技企业。截至目前,已有18家新的深度科技企业完成了该计划,并已受到全球的关注。此外,目前仍有5家新企业在加速器计划中,进展良好,新的队列已经计划好了。2021年,由于新冠肺炎疫情,HighTechXL的大部分活动仍然不得不在网上举行。我们还不得不在线下组织自己,伴随着通信和物流方面的相关挑战。虽然初创公司的花销率相对较低,但一些公司遇到了财务困难。反洗钱组织帮助安排了对其中一些项目的资金和补贴。另一个在新冠肺炎危机期间变得更加明显的问题是需要早期融资,特别是对深度科技初创企业来说。深度技术通常被认为是复杂的,需要高风险资本,因此对典型的早期风险投资基金不太有吸引力。ASML承诺提供财政捐助,以满足初创企业的需求,特别是在初创企业存在的早期阶段,此时需要为建造技术示范器、原型等往往相对较高的成本提供资金。ASML与HighTechXL的其他股东一起,打算建立一个深度技术种子基金。


ASML年度报告2021年87 Carbyon使从大气中捕获二氧化碳成为可能到目前为止,从空气中提取二氧化碳的可持续解决方案一直是将绿色氢气转化为清洁燃料的关键缺失部分。解决这一难题将使可再生电力转化为化学品和燃料成为可能,只使用水、空气和清洁电力就可以结束有机燃料燃烧循环。来自ASML和从TNO剥离出来的Carbyon公司的技术专家联手开发了一种非常复杂的机器的技术概念,以一种经济有利可图的方式从空气中提取二氧化碳。特别是,根据ASML的技术经验,更详细地制定了从空气到二氧化碳的“气体冲洗”概念,反之亦然。在ASML的积极支持下,Carbyon加快了其概念验证的设计和实现。它正在朝着成为一家规模较大的公司的方向发展,筹集了250万欧元的资金,并正在与各种风险资本家就资本增长进行谈判。多亏了卡宾,我们离创造一个可持续的未来又近了一步。Make Next Platform为了支持年轻的创新高科技团队,ASML于2016年与豪氏威马、Vanderlande和非营利性Stichting Technology Rating一起创建了Make Next平台。泰利斯NL于2019年作为联合创始人加入。Make Next平台利用合作伙伴的网络、能力、专业知识和经验来回答这些合作伙伴在发展过程中遇到的问题。我们帮助他们成长为一家可持续发展的公司。Make Next平台旨在帮助那些已经走出创业阶段并准备扩张的年轻科技公司。这些公司,即所谓的黑帮,面临着一些挑战,比如寻找增长所需的资金,知道如何瞄准新的客户群体,以及招聘具有合适技能的新员工。通过交流最佳实践、业务经验和企业专家的指导,Make Next平台合作伙伴旨在通过允许他们访问内部网络来支持他们发展成为全球参与者。截至目前,Make Next平台已经筛选了200多家公司,并与其中50多家公司的管理团队进行了接触。到目前为止,已经采取了7次大规模行动,目前有10多次正在筹备中。创新生态系统关键绩效指标下表显示了关键绩效指标(KPI)和相关的2025年目标。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。KPI 2019 2020 2021目标2025研发费用(欧元,以十亿为单位)1 2.0 2.2 2.5 n/a研发合作伙伴机构144 130 121 n/a初创企业数量从支持的初创企业总数(%)17%16%15%>20%支持的向上扩展的公司数量(#)5 7 7 14初创企业和实物支持小时数1 300 1 550 2 100 n/a 1。此KPI基于美国公认会计原则对联合国可持续发展目标的贡献我们在本章中描述的雄心壮志、承诺和计划有助于实现以下可持续发展目标。可持续发展目标目标我们如何衡量我们的绩效可持续发展目标9.1-发展高质量、可靠、可持续和有弹性的基础设施,包括区域和跨境基础设施,以支持经济发展和人类福祉,重点是为所有人提供负担得起和公平的机会。·支持初创企业达到星级·支持扩大项目·在欧盟项目中的合作SDG目标9.4-到2030年,升级基础设施和改造产业,使其可持续,提高资源利用效率,更多地采用清洁和无害环境的技术和工业流程,所有国家都根据各自的能力采取行动。·与研究伙伴合作·我们每片晶圆测量的产品能效超过SDG目标9.5-加强科学研究,提升所有国家,特别是发展中国家工业部门的技术能力。对发展中国家来说,这包括到2030年鼓励创新,增加每百万人的研发人员数量,以及公共和私人研发支出。·研发投资·与研发合作伙伴机构合作


ASML年度报告2021年88通过确保我们以可持续和负责任的方式开展业务,为我们的世界级供应商网络实现我们努力实现的创新设定了更高的标准。我们的供应链总采购支出90亿欧元荷兰42%欧洲、中东和非洲(不包括NL)12%北美7%亚洲4,700总供应商1,500荷兰700 EMEA(不包括NL)1,200北美1,300亚洲89%完成关键供应商的澳大利亚央行自我评估问卷0供应商在可持续发展(RBA)和ASML评估中获得总体高风险分数,我们在很大程度上依赖我们的供应商网络来实现我们努力的创新。我们的供应商是我们价值链的重要延伸。我们的总供应商基础中约有4,700家供应商,我们区分与产品相关和非产品相关的供应商。与产品相关的供应商提供直接用于生产我们系统的材料、设备、部件和工具。这一类别包括800家供应商,占我们采购量的最高百分比,占我们总支出的70%。在与产品相关的供应商总数中,约有200家供应商是关键供应商,约占产品相关支出的92%。与产品无关的供应商是商品和服务供应商,提供支持我们运营的产品和服务,从临时工到物流,从自助餐厅服务到IT服务。该集团约有3,900家供应商,占我们总供应商基础的近85%。采购和供应链战略我们投入了大量资源来开发和引入新的系统和系统增强,如EUV光刻和电子束计量。由于这些是涉及数千个专业部件的复杂技术,我们专注于高附加值的系统集成。ASML的供应链战略以与供应商和合作伙伴的长期关系和密切合作为中心。我们的目标是确保我们获得所需的产品、材料和服务,以满足我们的短期和长期需求,支持我们的运营,从我们系统的最早开发阶段到生命周期的结束阶段。为了确保这一过程顺利进行,我们在产品生成过程(PGP)的尽可能早阶段引入了我们的供应商。这也使我们能够提高产品性能,并确保可制造性和适用性。在以小批量生产高价值产品、快速开发周期和业务波动为特征的利基市场上运营,需要对供应基础提出几个关键的性能要求。持续提高供应商的能力和绩效是我们采购和供应链战略的核心。我们要求我们的供应商:1.通过开发和维护一流的能力和能力,使我们的产品路线图能够确保最先进的技术和最快的上市时间2.通过高效和专注的运营推动成本降低、质量和能力的改进3.建立足够广泛的客户基础和规模,以分担和分散动荡的市场周期的风险,并提高灵活性和成本竞争力4.为我们的可持续战略做出积极贡献为了推动可持续和有弹性的供应链,我们强调供应商绩效管理、供应链风险管理和负责任的供应链。


ASML年度报告2021年89 ASML和ZEISS面向未来的业务关系自20世纪90年代以来,ZEISS和ASML在‘两家公司-一家企业’的旗帜下形成了战略合作伙伴关系,我们在一起取得了令人难以置信的成功。我们掌握了技术挑战:浸没式光刻曾经是并将继续取得巨大成功,我们将EUV 0.33 NA光刻投入批量芯片生产,我们现在正在开发下一代EUV 0.55 NA(High-NA)。ASML和ZEISS签署了一项新的框架协议,将长期而成功的合作与结盟关系带到了一个新的水平。新的框架协议基于三大支柱。第一个是促进ASML和ZEISS之间相互尊重和理解的行为和交互模型。第二种是治理模式,它使两家公司在决策和业务战略的执行方面变得更加有效和一致。第三个支柱是覆盖两家公司之间整个业务关系的商业模式,允许产品和工程团队现在完全专注于协作来服务我们的客户。我们的共同意图是更快地向我们的客户提供更好的产品,发展业务,并分担这项业务对最终客户的总体责任。供应商绩效管理ASML的持续增长,再加上我们的雄心壮志,要求我们显著改进我们的关键业务流程。严格的风险控制和持续的供应链改进是确保质量、长期业务连续性和可持续性的关键。我们投资于开发和监控我们的供应格局,以帮助供应商满足我们在质量、物流、技术、成本和可持续性(QLTCS)方面的要求。我们的供应商概况分析方法帮助我们衡量所有这些领域的供应商业绩、供应商能力和风险概况。我们建立了一个框架,将流程要求和合规期望传达给我们的供应商。该框架概述了我们对供应商管理和开发所需的ASML供应商环境的方法。它还提供了一个增强的知识库,以改善我们与供应商围绕其业绩和发展潜力的对话。我们定期召开运营和绩效审查会议,以确保供应商继续改进其绩效和流程。当供应商的业绩下降到低于每年设定的阈值,并且在合理的时间范围内没有应要求恢复时,ASML将采取行动确保可靠的未来供应。此外,我们有一个结构性审计计划,以评估供应链风险,并确定可改进的领域,以减轻或减少这些风险。2021年,我们在N级(间接)供应商变更管理、产品安全和维修等领域发起了各种供应商改进举措。这些跨部门的改进项目旨在加快我们供应商的学习,提高供应商的整体表现。随着芯片短缺的持续,供应商也加入了产能驱动的行列,客户面临着提高产量的压力,所有人都在关注ASML帮助他们做到这一点。但由于ASML的绝大多数产品依赖于供应商的零部件,我们的目光转向他们,以匹配所需的产能增长。这是2021年5月18日虚拟供应商提升日的重点。它包括两个成功的现场直播,来自亚洲、欧洲和美国的320多家供应商参与其中。主要演讲者包括我们的首席执行官和DUV和运营部门的高级管理人员。他们传达的信息是明确的--目前ASML的每一点制造能力都得到了利用,我们需要我们的供应商与我们一起迅速提高,质量和交付性能至关重要。这是一个积极的行动呼吁--共同努力,我们可以提供我们的客户需要的东西,并确保我们行业的可持续性,使所有人受益。供应链风险管理由于我们的许多部件和模块高度专业化,而且数量较少,从多个供应商采购并不总是经济的。因此,我们的采购战略(在许多情况下)规定了“单一采购,双重能力”,这要求我们主动管理供应商的业绩和风险。在我们的风险管理框架中,我们评估六个风险领域--灾难、所有权、金融、知识产权和信息安全以及合规性。由于在同一行业或市场运营的供应商通常面临类似的风险,我们在其供应市场类别的背景下评估供应商的风险和表现。我们将根据需要调整我们的品类战略,以满足ASML的短期和长期业务需求。在风险超过商定的阈值的情况下,将采取缓解措施。例如,我们有长期供应商协议(LTSA)和/或持续供应协议,或确保知识产权在第三方托管中可用。更多内容请阅读:我们在2021年的表现-治理-我们如何管理风险。


ASML年度报告2021年90我们的业绩和进展我们对我们的供应基地进行持续的业绩和风险管理,目的是确保和改进业绩,并防止声誉损害。为此,我们部署了两个关键计划:旨在确保供应和供应商信息安全连续性的供应商业务连续性计划,以及保护我们的知识产权和保持领先技术地位的信息安全和网络弹性计划。在2021年的业务连续性计划中,我们继续专注于通过审查业务连续性计划来提高业务恢复能力,以确保供应商能够在发生中断事件的情况下在尽可能短的时间内重新交货。我们要求供应商具备符合国际标准化组织22301标准的业务恢复能力。要求、评估并在需要时改进供应商恢复计划,以防止潜在的业务中断。例如,供应商可能被要求将其库存放在不同的位置,实施防火控制,或增加缓冲库存。2021年,我们将197家与业务关键产品相关的供应商纳入我们的业务连续性计划,并将范围扩大到32家与产品无关的供应商。信息安全和网络复原力计划我们在2021年继续扩大我们的信息安全和网络复原力计划,导致目前的供应商范围从2020年的143家增加到202家。能够获取绝密信息或拥有访问我们IT系统特权的供应商被要求通过国际标准化组织27001标准提高他们的网络韧性。为了支持我们的供应商和其他生态系统合作伙伴的这一努力,我们与Cyber Weerbaarheid(Resilience)Brainport一起在荷兰建立了安全信任圈。更多内容请阅读:我们在2021年的表现-治理-负责任的企业-信息安全。负责任的供应链我们积极追求供应链的可持续发展,旨在确保我们的一级供应商和承包商以关怀和负责任的方式开展业务,并作为负责任的业务伙伴行事。在我们寻求确保负责任的供应链的同时,我们部署了几个项目,重点是负责任的商业联盟(RBA)承诺和标准、尽职调查以及我们的供应商可持续发展计划。澳大利亚央行行为准则承诺我们是负责任商业联盟(RBA)的成员,并通过了澳大利亚央行行为准则,这是一项旨在确保电子行业或电子产品为关键组件的行业的工作条件及其供应链是安全的,工人得到尊重和尊严的对待,以及商业运营对环境负责和按道德行事的标准。我们希望我们的主要供应商及其供应商也承认并遵守澳大利亚央行的行为准则。这一要求包括在我们与产品相关的长期供应商的合同中。我们还鼓励我们的供应商制定他们自己的可持续发展战略、政策和流程,我们积极推动我们的供应商遵守这一准则。为了对我们的供应商基础中的4,700多家一级供应商进行尽职调查,识别存在风险的供应商并对其进行优先排序对我们来说很重要。我们采用基于风险的方法来确定哪些供应商在我们更详细的尽职调查流程的范围内,该流程由三个层面组成:a.通过使用澳大利亚央行风险平台,根据道德、劳工、健康和安全以及环境风险来筛选我们的完整供应商基础,以确定固有风险级别。B.将供应商风险分析应用于关键业务供应商。对于这些供应商,我们对QLTCS能力要素进行风险评估。C.向主要供应商应用澳大利亚央行自我评估问卷(SAQ),其中我们考虑供应商的类型、杠杆和供应商的地理位置。我们专注于覆盖我们年度支出80%的产品相关供应商、包括非产品相关供应商在内的业务关键型供应商,以及在我们的澳大利亚央行年度风险筛选中被认为风险较高的供应商。关于这些详细程序范围内的供应商,我们希望他们每年完成澳大利亚央行SAQ,以验证他们是否符合澳大利亚央行行为准则,并确定与澳大利亚央行行为准则标准有关的任何潜在差距。我们审查所有澳大利亚央行SAQ结果,评估高风险发现(如果有),并确定发现的严重性。我们的政策是与供应商讨论所有高风险的发现,以评估风险并确定是否需要改进计划。我们确保可持续供应链的方法的一个关键绩效指标是范围内完成澳大利亚央行SAQ的供应商的百分比。我们的目标是到2025年达到90%的完成率。我们的第二个关键绩效指标是为高风险供应商制定100%的改进计划,这是澳大利亚央行自我评估确定的。


ASML年度报告2021年91我们的业绩和进展下图概述了我们尽职调查程序产生的范围。供应商产品相关(PR)供应商非产品相关(NPR)772 3,885供应商70%总支出30%NPR支出27%NPR支出32 197 38%NPR支出15供应商92%尽职调查筛选供应商风险澳大利亚央行自评问卷(SAQ)2021年总供应基数应用于总供应基数使用澳洲央行风险评估平台90亿欧元总支出4,657个供应商适用于具有战略重要性的业务关键型供应商适用于主要供应商79%的公关支出41个供应商我们已要求总共56个供应商完成详细的澳洲央行SAQ。总体而言,澳大利亚央行SAQ结果显示,我们的供应基础风险水平相对较低,因为我们的大多数供应商在我们认为总体上拥有强大法治的国家开展业务。2021年,89%的范围内供应商完成了澳大利亚央行SAQ(2020年为88%)。从这一总数中,澳大利亚央行SAQ显示,两家供应商的总体风险很高。


ASML年度报告2021年92我们评估了这些潜在的差距,并与这些供应商进行了接触。根据我们的评估,我们确定风险与实际违规或事件无关-我们的结论是高风险被高估了,不需要改进计划,我们调整了评分。关于人权风险,澳大利亚国家统计局表示,一家供应商的劳动力风险很高。根据我们的评估,我们得出结论,这一风险与管理制度有关,而不是实际侵犯人权的行为。更多细节可以在下表中找到。澳大利亚SAQ标准澳大利亚央行承诺2020年2021年主要调查结果确定的高风险数量劳工维护所有工人的人权(直接和间接),并按照国际社会的理解,以尊严和尊重对待他们,包括国际劳工组织(劳工组织)的八项基本公约。10·自己的管理体系,但没有第三方验证·没有公开报告劳动指标健康和安全,以将工伤和疾病的发生率降至最低,并确保安全健康的工作环境。沟通和教育对于确定和解决工作场所的健康和安全问题至关重要。00环境责任是生产世界级产品和服务不可或缺的一部分。在保障公众健康和安全的同时,将对社区、环境和自然资源的不利影响降至最低。0道德为了履行社会责任并在行业中取得成功,应坚持最高道德标准,包括但不限于商业诚信、反贿赂和腐败、反垄断和竞争、保护隐私。10·自己的管理体系,但未经第三方验证·没有公开报告与道德相关的指标,成员和参与者致力于建立管理体系,以确保:·符合适用的法律、法规和客户要求·符合规范标准·识别和缓解运营风险·促进持续改进。在旅行限制和其他新冠肺炎衡量标准中,我们没有进行供应商现场审计。我们认为这是一个改进的领域,并审查了我们以前的审计方法。我们正在考虑是否让第三方审计师参与进来。我们将于2022年完成审查并开始实施。供应商可持续发展计划我们的供应商可持续发展计划通过专注于七个组成部分-供应商行为准则(RBA)、澳洲央行自我评估、负责任的矿产采购、减少碳足迹、提高重复利用能力和减少浪费、信息安全和业务连续性-来解决我们第一级供应链中的劳动力、人权、安全、道德和环境风险。在我们的供应商可持续发展计划中,一个重要的元素就是“意向书”。通过签署这份意向书,供应商同意继续遵守最新版本的澳大利亚央行行为准则,与生态系统合作伙伴测量和共享他们的二氧化碳排放数据,设定雄心勃勃的二氧化碳减排目标,并与ASML和生态系统合作伙伴合作,重新制造使用过的系统部件、工具、包装和其他材料,以最大限度地实现材料的再利用。我们的业绩和进展到2021年年底,超过50%的供应商签署了第一阶段推广的意向书,超过了我们最初20%的入职目标。通过意向书,我们的供应商承认了减少集体环境足迹的共同责任和承诺,特别是在有助于我们范围3减少的二氧化碳排放和有助于我们再利用雄心的废物方面。更多内容请阅读:我们在2021年的表现-环境-循环经济-重复使用安装基础上的部件和材料。为了在2021年减少二氧化碳排放和废物,我们在供应商可持续发展计划中迈出了重要的一步,我们雄心勃勃地联手实现到2030年实现净零排放的全球目标。我们向排名前60位的供应商推出了这一计划,目的是随着时间的推移逐步扩大范围。我们认识到,我们的供应商在二氧化碳排放和减少废物方面处于不同的成熟阶段,从先进的目标设定和业绩到尚未开始衡量他们的环境足迹。利用我们供应商的二氧化碳排放数据,我们的目标是在2022年设定一个基线,并与他们就减排目标达成一致。


ASML年度报告2021年93冲突矿物与电子行业的许多公司一样,我们的产品含有产品功能或生产所需的矿物和金属。这类矿物和金属包括钽、钨、锡和金,它们是3TG矿物,或所谓的冲突矿物。在我们产品的生产过程中,我们并没有大量使用这些3TG矿物质。然而,需要某些3TG矿物质来开发我们的产品并使其发挥作用。例如,金被用来涂覆关键的电子连接器,锡被用来焊接电子元件和产生EUV光。我们根据经合组织《受冲突影响地区和高风险地区负责任的矿产供应链尽职调查指南》(《经合组织指南》)提出的五步框架的法律要求和指导方针,采取了一系列合规措施。作为我们负责任的采购计划的一部分,我们进行合理的原产国调查。为此,我们重点关注供应链关键绩效指标(KPI)下表显示了关键绩效指标(KPI)和相关的2025年目标。更多内容请参阅:非财务报表-非财务指标-我们的供应链绩效指标(PI)和相关结果。由于计量方法和应用的假设的限制,非财务数据可能包括一定程度的不确定性。更多内容请参阅:非财务报表-关于非财务信息-报告指标。KPI 2019 2020 2021 Target 2025澳大利亚央行自我评估已完成(以%为单位)1 78%88%89%90%供应商对可持续性要素进行了高风险评估并达成了后续行动协议(以百分比为单位)2 25%0%100%100%1。此指标显示完成澳大利亚央行年度自我评估问卷(SAQ)的范围内主要供应商的百分比。2.零供应商被确定为可持续性因素风险较高的供应商。为实现联合国的可持续发展目标,我们在本章所述的雄心壮志、承诺和计划有助于实现以下可持续发展目标。有关业绩的更多信息,请阅读:非财务报表-非财务指标-我们的供应链。可持续发展目标我们如何衡量我们的业绩可持续发展目标8.8-保护劳工权利,为所有工人,包括移徙工人,特别是女性移民,和那些就业不稳定的人促进安全和有保障的工作环境·遵守澳大利亚行为准则·澳大利亚央行自我评估问卷完成·供应商在可持续性要素上具有高风险,并进行评估和后续商定的可持续发展目标12.2-到2030年,实现自然资源的可持续管理和高效利用·在五个领域推动循环采购,涵盖强大的管理体系、风险识别、风险缓解、与负责任矿产倡议(RMI)组织的行业合作和公共报告。尽管不断努力,我们仍无法确定我们产品中包含的所有3TG矿物的确切来源。这是由于几个原因:3TG供应链的复杂性,追踪源头的供应商层级数量,以及为所有冲突矿物认证的无冲突冶炼厂数量有限。从我们的供应链中获得正确的数据是一个挑战,但我们在这方面继续努力。我们继续鼓励我们的供应商根据适用的冲突矿产规则和法规,在其供应链中追踪3TG矿产的来源。此外,我们要求我们的供应商将未在澳大利亚央行冶炼厂名单上列出或确定的冶炼厂报告给澳大利亚央行进行审计。有关更多信息,请参阅www.asml.com上提供的我们的冲突矿产报告。


ASML年度报告2021年94治理我们倡导综合公司治理,以与我们的利益相关者建立信任、尊重和相互尊重的关系。


ASML年度报告2021年95公司治理我们赞同良好的公司治理的重要性,其中独立性、问责制和透明度是最重要的要素。这些也是我们与利益攸关方之间建立信任关系的基础。ASML Holding N.V.是一家根据荷兰法律运营的上市有限责任公司。阿斯麦的股票在泛欧交易所、阿姆斯特丹和纳斯达克上市。我们有一个两级董事会结构,包括一个负责管理公司的管理委员会和一个独立的监督委员会,负责监督管理委员会并向其提供建议。就履行其职责而言,两个董事会均向股东大会负责,股东大会是代表我们股东的法人团体。我们的治理结构基于ASML的公司章程、荷兰公司法和证券法以及《荷兰公司治理守则》。由于我们在纳斯达克上市,因此我们还必须遵守萨班斯-奥克斯利法案、纳斯达克上市规则以及美国证券交易委员会颁布的规则和法规的适用条款。股东监事会管理业务部门业务职能公司职能员工支持ASML公司治理结构我们受适用于大公司的荷兰法律(结构制度)的相关条款的约束。这些规定的效果是将对某些公司决策和交易的控制权集中在监事会手中。管理委员会和监事会成员的任免程序以结构制度为基础。本年度报告的这一部分介绍了我们的公司治理结构以及ASML应用《荷兰公司治理准则》的原则和最佳实践的方式。它还提供了通过与管理报告内容有关的进一步规则的法令和执行《接管指令》第10条的法令所要求的资料。根据荷兰公司治理守则(https://www.mccg.nl/english),),本年度报告的其他部分阐述了我们旨在创造长期价值的战略和文化、我们的价值观和行为准则,以及我们内部控制和风险管理系统的主要特点。阅读更多内容:我们是谁,我们做什么-我们的公司,我们在半导体价值链中的位置-我们的战略,我们在2021年的表现-我们如何创造价值,我们在2021年的表现-治理-我们如何管理风险。


ASML管理委员会2021年年报ASML管理委员会负责管理ASML。其职责包括建立关于ASML及其业务的长期价值创造的相关性的立场,定义和部署ASML的战略,建立和维护有效的风险管理和控制系统,管理ASML运营和财务目标的实现以及与ASML相关的企业社会责任方面。在履行其管理任务和责任时,管理委员会以ASML及其业务的利益为指导,并考虑到我们利益相关者的利益。目前的管理委员会由五名成员组成。公司实行双重领导结构,董事长总裁兼首席执行官,副董事长总裁兼首席技术官。管理委员会通过了任务分工,向个别成员收取管理任务的具体部分,但管理委员会仍然集体负责非政府组织的管理。管理委员会受监事会的监督和建议。管理委员会以书面或其他形式向监事会提供监事会适当履行其职责所需的所有信息。除了在定期会议上提供的信息外,管理委员会还定期向监事会提供与我们的业务、财务、运营和行业总体发展相关的最新发展情况。管理委员会的某些重要决策需要得到监事会的批准,请参阅本公司治理章节的监事会部分。关于管理委员会的一般责任、与监督委员会和各利益攸关方的关系、管理委员会内部的决策进程以及会议的后勤安排的进一步信息,可参阅管理委员会的议事规则。这些都发表在我们网站的治理部分。任命管理委员会成员由监事会根据遴选和提名委员会的建议并经通知大会后任命。管理委员会成员的任期为四年。连任四年是有可能的。根据荷兰法律,管理委员会的所有成员在任期内通过管理事务协议聘用。反洗钱组织与管理委员会成员之间的管理服务协定载有关于遣散费的具体规定。如果ASML终止协议的原因并非完全或主要存在于管理委员会成员的作为或不作为中,则将支付不超过一年基本工资的遣散费。此外,目前的协议规定,管理委员会成员在根据控制权变更发出终止通知时,有权获得遣散费。鉴于这种辞职与控制权的变更有明确的联系,ASML不认为这一规定偏离了荷兰公司治理准则。监事会可以将管理委员会成员停职和解职,但这必须在征求股东大会意见后才能完成。有关2021年管理委员会变动的更多信息,可在本年度报告中的监事会报告中找到。Peter T.F.M.Wennink(1957年,荷兰)总裁,首席执行官兼管理委员会主席任期届满2022年Peter Wennink于2013年成为总裁兼首席执行官,自1999年以来一直担任执行副总裁、首席财务官和管理委员会成员。Peter之前是德勤会计师事务所的合伙人,专注于半导体行业。他在金融方面有广泛的背景,是荷兰注册会计师协会的成员。彼得在2021年12月31日之前一直是总部基地Stichting Pensioenfonds投资委员会顾问委员会的成员。他是FME-CWM董事会的副主席,也是埃因霍温地区工业领袖委员会的成员,也是埃因霍温工业大学法布里坎特环和监事会的主席。此外,Peter还是Topconortium Voor‘Kennis en Innovatie’TKI HTS&M的理事会成员,荷兰国家增长基金咨询委员会成员和Startup Delta影响力圈成员。


ASML年报2021年97 Martin A.van den Brink(1957年,荷兰)总裁首席技术官兼管理委员会副主席任期届满2022年Martin van den Brink自2013年起担任ASML总裁兼首席技术官。1984年ASML成立时,他加入了ASML,在接下来的11年里,他在工程领域担任过各种职位。1995年任总裁科技副总裁,1999年任总裁产品技术常务副总裁兼管理委员会成员。Martin拥有汉城大学HTS Arnem的电气工程学位,以及Twente大学的物理学学位(1984)。2012年,阿姆斯特丹大学授予他物理学荣誉博士学位。弗雷德里克·施耐德-毛努里(1961年,法国)执行副总裁总裁兼首席运营官任期至2022年弗雷德里克·施耐德-毛努里自2009年加入ASML以来一直担任执行副总裁总裁兼首席运营官。他于2010年被任命为管理委员会成员。在加入ASML之前,弗雷德里克曾在发电和轨道交通设备集团阿尔斯通担任总裁热力产品制造副总裁,此前曾担任阿尔斯通全球水电业务总经理。在加入阿尔斯通之前,弗雷德里克在法国贸易和工业部担任过各种职位。他毕业于巴黎理工学院(Ecole Polytech)(1985年)和国家矿业学院(Ecole National Supérieure des Mines)(1988年)。罗杰·J·M·达森(1965年,荷兰)执行副总裁总裁和首席财务官任期至2022年底。罗杰·达森于2018年6月加入ASML,同年在年度股东大会上被任命为执行副总裁总裁和首席财务官兼管理委员会成员。他之前曾担任德勤控股有限公司全球副主席兼执行董事会成员,曾任德勤控股有限公司首席执行官。罗杰拥有马斯特里赫特大学经济学和工商管理硕士学位、审计硕士学位和工商管理博士学位。他是阿姆斯特丹Vrije University审计教授,也是荷兰国家银行监事会成员。他也是马斯特里赫特大学医学中心+的监事会主席。克里斯托夫·D·福奎(1973年,法国)执行副总裁总裁的任期将于2022年届满,克里斯托夫·福奎于2018年被任命为执行副总裁兼管理委员会成员。自2008年加入ASML以来,他曾担任过多个职位,包括董事高级营销总监总裁产品经理和总裁应用副总裁(他于2013年至2018年担任该职位)。在加入ASML之前,他曾在半导体设备同行KLA Tencent or和应用材料公司工作过。克里斯托夫拥有格勒诺布尔理工学院的物理学硕士学位。


ASML年度报告2021年98监事会我们的监事会监督ASML及其子公司的管理委员会和一般事务过程。监事会还向管理委员会提供咨询意见。在履行其作用和责任时,监事会考虑到ASML及其子公司的利益,以及其利益攸关方的相关利益。在两级结构中,监事会是一个独立于管理委员会和反洗钱法的独立机构。除作为监事会成员外,没有任何监事会成员亲自与ASML保持业务关系。监事会目前由8名成员组成,最少为3名。在履行其任务时,监事会除其他外,重点关注ASML旨在创造长期价值及其执行的公司战略、管理委员会的人员配置和继任规划、管理ASML业务活动所固有风险的管理、财务报告程序、遵守适用的法律和条例、ASML的文化和管理委员会在这方面的活动、与股东和其他利益攸关方的关系,以及对ASML重要的公司社会责任问题。重要的管理决策,如确定业务和财务目标、为实现这些目标而设计的战略和适用的参数、重大投资、预算以及股票的发行、回购和注销,都需要得到监事会的批准。监事会受其议事规则管辖。这些规则涵盖的事项包括监事会及其各委员会的职责、监事会及其各委员会的组成、围绕会议的后勤、监事会成员出席会议的情况、这些成员的轮换时间表以及委员会章程。监事会的议事规则和委员会章程定期进行审查,并在必要时进行修订。审计委员会章程每年审查一次,以确认章程仍然符合适用的规章制度,特别是与萨班斯-奥克斯利法案有关的规章制度。有关监事会2021年会议和活动的更多信息,请参阅:监事会-监事会报告-会议和出席。任命监事会成员由大会根据监事会提出的具有约束力的提名任命。在提名(重新)任命的人时,监事会检查候选人是否符合监事会的要求。该简介可在我们网站的治理部分找到。股东大会可通过以绝对多数票通过的决议否决监事会的有约束力的提名,该决议至少占ASML已发行股本的三分之一。如果赞成这一决议的票数不超过总已发行资本的三分之一,可以召开新的股东大会,在会上可以以绝对多数推翻提名。监事会一般于实际退任前一年的股东周年大会(股东周年大会)上轮流向股东大会及劳资委员会通知即将退任的人士(S)轮值退任。这确保他们有足够的机会为即将到来的空缺推荐候选人。监事会有权拒绝拟议的建议。此外,劳资委员会有更大的权利向三分之一的监事会成员提出建议。这一增强的推荐权意味着,监事会只有在以下情况下才能拒绝工务委员会的建议:(1)如果有关人员不合适,或(2)如果被推荐人被任命为监事会成员,监事会将不会正式组成。根据监事会的轮换时间表,监事会成员的任期最长为四年或较短的任期。监事会成员有资格连任,任期最长为四年。在此之后,成员可再次获得连任,最长任期为两年。这一任命的最后任期可延长不超过两年。轮换时间表可在我们网站的治理部分找到。如果股东大会对监事会失去信心,它可以绝对多数票撤回对监事会的信任,该绝对多数票至少占已发行资本总额的三分之一。这项决议将导致立即解散整个监事会。在这种情况下,阿姆斯特丹上诉法院企业商会应根据管理委员会的要求任命一名或多名监事会成员。监事会委员会监事会在保留全面责任的同时,将部分任务和职责分配给四个委员会:审计委员会、薪酬委员会、遴选和提名委员会和技术委员会。关于监事会委员会的更多信息可以在监事会报告中找到,也可以在我们网站上公布的委员会章程中找到。


ASML年度报告2021年99 Gerard J.Kleisterlee(1946,荷兰)自2015年起担任监事会成员;第二届任期于2023年届满监事会主席、遴选和提名委员会主席、技术委员会成员Gerard J.Kleisterlee于2015年加入监事会,自2016年以来一直担任监事会主席。他于2001年至2011年担任皇家飞利浦NV董事会主席兼首席执行官,自1974年以来一直在该公司工作。2011至2022年间,Gerard担任沃达丰集团董事会主席。2010年至2020年5月,担任荷兰皇家壳牌石油公司-B董事非执行董事。目前,杰拉德是IBEX有限公司的独立董事会成员。安托瓦内特(Annet)P.Aris(1958,荷兰)自2015年起担任监事会成员;第三届任期于2024年届满,2021年起任监事会副主席,薪酬委员会、技术委员会和遴选提名委员会委员,Annet Aris自2015年起担任监事会成员。她是法国欧洲工商管理学院战略学高级副教授,自2003年以来一直担任这一职位。从1994年到2003年,她是德国麦肯锡公司的合伙人,直到2019年,她一直是托马斯·库克集团的非执行董事董事。她还是Jungheinrich AG、Randstad Holding NV和合作银行U.A.Johannes(Hans)M.C.Stork(1954,美国人)监事会成员,自2014年起担任监事会成员;第二届任期于2022年届满,汉斯·斯托克于2014年加入监事会。他是高级副总裁,安森美半导体公司的首席技术官,他自2011年以来一直担任这个职位。在此之前,汉斯曾担任过一系列高级职位,包括IBM公司的高级经理、惠普公司超大规模集成电路研究实验室的董事、德克萨斯仪器公司的高级副总裁兼首席技术官以及应用材料公司的集团副总裁兼首席技术官总裁。他还一直是Sematech的董事会成员,目前是IMEC的科学顾问委员会成员。马克。M.D.Durcan(1961年,美国)自2020年起担任监事会成员;第一届任期于2024年届满技术委员会主席、遴选和提名委员会成员Mark Durcan于2020年被任命为监事会成员。2012年至2017年,他担任美光科技公司首席执行官,1984年加入公司,并在被任命为首席执行官之前担任过各种管理职位。此外,马克是飞思卡尔半导体和MWI兽医供应公司的董事员工。此外,他还是美国卑尔根公司董事会成员、美国莱斯大学(德克萨斯州)董事会成员、圣卢克医疗系统公司(爱达荷州)董事董事会成员和自然智能系统CA Private AI公司董事董事会成员。创业公司。


ASML年度报告2021年100特里·L·凯利(1961,美国)自2018年起担任监事会成员;第一届任期于2022年届满薪酬委员会主席、遴选和提名委员会成员特里·凯利自2018年以来一直担任监事会成员。在此之前,她于2005年至2018年担任W.L.Gore&Associates首席执行官兼总裁,自1983年以来一直在Gore担任各种管理职务。她还在戈尔的董事会任职至2018年7月。特里是内穆尔基金会的受托人、特拉华大学的副主席和Unidel基金会的受托人。她也是联合租赁公司的董事会成员。罗尔夫-迪特尔·施瓦布(1952年,德国)自2015年起担任监事会成员;第二任期于2023年届满审计委员会主席兼薪酬委员会成员罗尔夫-迪特尔·施瓦布自2015年以来一直担任监事会成员。2006年至2014年,他担任皇家帝斯曼NV的首席财务官和管理委员会成员。在此之前,他是拜尔斯多夫股份公司的首席财务官和执行董事会成员。他还曾在拜尔斯多夫股份公司和宝洁担任过财务、IT和内部审计方面的各种管理职位。沃伦·D·A·伊斯特(Warren D.A.East)(1961年,英国)自2020年起担任监事会成员;第一届任期于2024年届满审计委员会成员沃伦·伊斯特于2020年成为监事会成员。沃伦自2015年以来一直担任劳斯莱斯集团的首席执行官。1985年至1994年,他在德克萨斯仪器有限公司度过了他的早期职业生涯。然后,他加入了ARM Holdings,Plc,在那里他担任了各种管理职位,并于2001年至2013年被任命为首席执行官。比尔吉特·科尼克斯(1965年,比利时人)自2021年起担任监事会成员;第一届任期于2025年届满审计委员会成员比尔吉特·科尼克斯于2021年成为监事会成员。自2021年6月以来,Birgit一直担任Sonova Holding AG的首席财务官和管理委员会成员。从2018年到2021年1月1日,比尔吉特是途易股份公司执行董事会成员兼首席财务官。在此之前,她是比利时媒体、有线电视和电信公司Telenet Group NV的首席财务官。在此之前,她曾在强生、喜力、田纳科和里德·埃尔塞维尔担任过各种财务管理职位。


101与理事会有关的其他事项下节讨论管理委员会和监事会都适用的若干专题。薪酬和股权管理委员会的薪酬由监事会根据薪酬委员会的建议,根据股东大会通过的薪酬政策确定。现行的薪酬政策是2021年大会通过的。监事会的薪酬是根据薪酬政策确定的。现行的薪酬政策是2021年大会通过的。监事会的薪酬并不取决于我们的(财务)结果。监事会成员不会获得ASML股票或收购ASML股票的权利,作为其薪酬的一部分。获得或已经获得ASML股份或收购ASML股份的权利的管理委员会和监事会成员必须打算将这些股份仅用于长期投资。在完成ASML股票交易时,管理委员会和监事会成员必须遵守我们的内幕交易规则。管理委员会和监事会成员对ASML股票进行的任何交易都要向荷兰AFM报告。目前没有任何监事会成员拥有任何ASML股份或收购ASML股份的权利。我们不会也不会向管理委员会和监事会成员提供任何个人贷款、担保或类似的东西。我们的《公司章程》规定,管理委员会和监事会成员不得因其任务的直接结果而提出索赔,但此类索赔不得归因于各自成员的故意不当行为或故意鲁莽。我们还通过对每名成员分别签署赔偿协议,对管理委员会和监督委员会的成员进行了赔偿。关于管理委员会和监事会薪酬的详细信息可在监事会-薪酬报告中找到。2021年8月6日,美国证券交易委员会批准了纳斯达克证券市场修订上市标准以鼓励董事会多元化并要求纳斯达克上市公司披露董事会多元化的提案。根据修订的上市标准,ASML作为一家外国私人发行人,必须至少有两名不同的监事会成员,或解释未能达到这一目标的原因。此外,要求在表格20-F的年度报告中列入董事会多样性汇总表,其中载有关于监事会成员的某些人口统计资料和其他信息。ASML目前符合多样性要求,因为我们目前在监事会中有三名女性和五名男性成员。董事会多样性矩阵如下所示。董事会多样性矩阵(12月31日的状况,2021)主要行政办公室所在国家荷兰外国私人发行人是母国法律禁止披露的监事会成员总数8(2020:9)女性男性非二元未披露第一部分:性别认同董事3(2020:3)5(2020:6)0(2020:0)0(2020:0)第二部分:母国管辖范围内代表人数不足的个人0(2020:0)0(2020:0)0(2020:0)0(2020:0)LGBTQI+0(2020:0)0(2020:0)0(2020:0)0(2020:0)未披露人口背景0(2020:0)0(2020:0)0(2020:0)


102 2021年9月28日,荷兰议会通过了一项性别多样性法案,为荷兰上市公司的监事会引入了一个配额,根据该配额,监事会的组成应至少包括三分之一的男性和女性。如果不遵守这一要求,新的任命将被宣布为无效。此外,该法案还要求为大型上市和非上市荷兰NV和BV的董事会和高级管理层设定雄心勃勃的性别平衡目标。这项性别多样性法案已于2022年1月1日生效。每年,截至2022年报告年度,公司必须向荷兰社会及经济理事会和管理报告报告在实现性别均衡目标方面取得的进展。目前,监事会符合荷兰性别多样性法案的性别标准,因为监事会中男性和女性都有代表,八名成员中至少有三名。我们认识到多样性和包容性的重要性:多元化和包容性的员工队伍提供了继续创新和推动业务向前发展所需的各种声音和观点的必要组合。事实证明,在ASML这样的技术环境中,确保平衡的性别代表性是具有挑战性的。总体而言,全球STEM(科学、技术、工程和数学)人才库稀缺,招聘女性人才更具挑战性。我们的研发人员中有15%是女性。近90%的工作岗位与STEM相关,而高科技行业的同行有更多样化的、与STEM无关的工作岗位。ASML非常希望看到更多的妇女现在和将来在工程和科学领域从事职业,从而增加我们未来的人才库,以便将来有更多的妇女担任技术职位和(高级)管理职位,包括管理委员会。我们行业的高度专业化意味着实现这种平衡是一个长期的过程。我们正在积极参与多个教育项目,以扩大渠道,部署多项倡议,在未来的女性人才库中促进STEM教育,并继续培养一种环境,使我们现有的劳动力能够蓬勃发展。自2020年以来,我们一直在制定和正规化我们的多样性和包容性方法。我们在2021年成立了全球多样性与包容理事会,该理事会由代表ASML采取行动的高级领导人组成,提供思想领导。理事会由管理委员会的一名成员担任主席,负责对成果进行战略问责,对多样性和包容性举措进行治理和监督,并促进全公司对目标的问责。我们的多元化和包容性战略包括以下内容:·通过让机会更可见和更容易获得来吸引更多的人才库·创建共享指标以更清晰地评估进展·确保包容性领导行为嵌入我们的文化·在我们的人才实践中包含不同的视角·为员工提供更多参与和推动其职业生涯的方式我们的目标是代表现有的熟练劳动力。创造一种环境,让所有人都感到受欢迎,知道自己的归属感,并看到摆在他们面前的职业道路,这需要组织各级的多样性。我们的目标是通过培养一种包容所有人的文化来增加我们劳动力的多样性。我们的员工调查@ASML每年都会衡量包容程度。2021年,我们的纳入得分为83%,而全球表现最好的公司的这一比例为82%。我们的目标是在持续的基础上达到或提高员工的包容性。要做到这一点,我们设定的目标是在2024年与这份比较公司名单中排名前25%的公司取得+/-3%的平杆得分。2021年,我们在全体员工和高级管理人员的性别多元化方面取得了进展。女性员工现在占我们全球员工总数的18%。与去年相比,这一改善增加了1%。我们的目标是在迈向2024年的过程中加强这一趋势。我们认为,解决这一问题的最有效方法是专注于我们现有团队成员的增长,并扩大我们人才库的多样性。我们已经制定了目标,到2024年将女性的招聘比例从2021年的20%提高到23%。我们在这一领域仍有工作要做,并已制定了侧重于女性领导层的具体目标。目前女性在这一级别的比例为8%,我们的目标是到2024年达到12%。为了实现这一目标,我们制定了一个目标,将女性领导者的招聘比例从2021年的12%提高到2024年的20%。我们相信,这些人才库将成为榜样,为更多人铺平道路。我们的雄心是让我们的员工队伍更加多样化,因为我们相信这是吸引和留住聪明人才的最佳方式之一,以帮助我们推动技术创新,以满足客户的需求。有关我们的多元化和包容性战略、倡议、女性领导和业绩数据的更多信息,请参阅:我们2021年的业绩-社会-我们的员工-员工经历和非财务报表-非财务指标-我们的员工。


ASML年度报告2021年103大会股东大会(AGM)每年至少举行一次,通常在荷兰的维尔德霍温举行。然而,由于新冠肺炎疫情的爆发,根据《新冠肺炎司法与安全暂行法案》,2021年的年度股东大会完全是虚拟举行的。年度股东大会的议程通常包括以下议题:·讨论过去一个财政年度的管理报告和通过财务报表;·讨论股利政策和批准任何拟议的股息;·对过去财政年度的薪酬报告进行咨询投票;·免除管理委员会和监事会成员在上一个财政年度履行职责的责任;·有限授权管理委员会发行(权利)ASML资本中的股份,排除这种发行的优先购买权,以及回购股份和注销股份;管理委员会、监事会或股东根据荷兰法律和公司章程提出的任何其他议题。由监事会、管理委员会或股东提上议程的提案,只要他们已根据适用的法律规定提交提案,就会得到讨论和解决。代表ASML已发行股本至少1.0%或代表至少5000万欧元股票价值的股东有权在大会日期前最迟60天将项目列入股东大会议程。监事会或管理委员会认为必要时,可召开特别股东大会。此外,如果一名或多名共同代表已发行股本至少10%的普通或累积优先股股东向监事会和管理委员会提出书面要求,则必须召开特别股东大会。申请必须详细说明要处理的业务。根据荷兰法律的规定,股东大会不迟于会议前42天通过ASML网站发布公告召开。记录日期设定在年度股东大会当天前28天。在记录日期登记为股东的人有权出席会议并行使其他股东权利。管理委员会和监事会通过对议程的解释以及为此目的必要或有帮助的其他文件,向股东提供与议程上的议题有关的信息。议程表明哪些议程项目是表决项目,哪些项目仅供讨论。所有与大会有关的文件,包括议程和解释,都张贴在我们的网站上。ASML股东可以指定一名代表,代表他们在年度股东大会上投票。我们还使用互联网代理投票系统,方便股东参与,而不必亲自出席。我们还为股东提供了在年度股东大会之前向独立的民法公证人发出投票委托书或投票指示的选项。我们不向我们的股东征集或提名代理人。利益冲突和关联方交易利益冲突程序纳入了管理委员会和监督委员会的议事规则。这些程序反映了荷兰法律以及《守则》关于利益冲突的原则和最佳做法规定。2021年,除正常的薪酬安排外,ASML或ASML的任何子公司、任何大股东与任何管理委员会成员、官员、监事会成员或其任何亲属或配偶之间没有任何交易,目前也没有任何交易。根据荷兰法律,管理委员会成员不得担任荷兰法律规定的另外两家以上大公司或大型基金会的监事会成员。管理委员会成员不得担任大公司监事会主席。管理委员会成员在接受另一家大公司或基金会的职位之前,必须事先获得监事会的批准。管理委员会成员还必须向监事会通报他们已履行或将履行的其他重要职能。荷兰法律规定,监事会成员不得在荷兰法律定义的大公司或大型基金会中担任超过五个监事会职位,董事长职位计算两倍。在2021年财政年度,管理委员会和监事会的所有成员都遵守了上述要求。


104决议由大会以绝对多数票通过(除非《章程》或荷兰法律要求不同比例的票数),此类会议一般没有法定人数要求。年度股东大会的投票结果将在会议后15天内在我们的网站上公布。年度股东大会的报告草稿在我们的网站上提供,或在会议后三个月内应要求提供。股东有机会在随后的三个月内提出意见,之后会议主席和秘书将通过该报告。通过的报告也可在我们的网站上查阅和索取。除了每年在年度股东大会上提交的项目外,在适当遵守法定规定的情况下,股东大会还拥有其他权力。这些措施包括:·修订组织章程;·如果管理委员会并未被大会指定用于此目的,则发行股票;以及虚拟年度股东大会鉴于新冠肺炎大流行,我们于2021年组织了一个完全虚拟的年度股东大会,通过使股东能够通过视频网络直播关注会议进程并在会议期间进行电子投票,从而实现了年度大会的虚拟出席。除了通过书面或电子委托书提前投票的机会外,实际上还提供了参加年度股东大会的机会。由于我们高度重视与股东的互动,我们邀请股东在年度股东大会之前提交有关议程项目的问题,我们为在阿姆斯特丹泛欧交易所交易的股票持有人提供了通过虚拟会议平台或通过视频连接以书面或口头方式提出现场问题的机会。我们在会前和会中共收到了19个问题。所有问题都在年度股东大会上得到了回答。·通过管理委员会和监事会成员的薪酬政策。(建议)修改公司章程需经监事会批准。提出修订《公司章程》的股东大会应符合法定人数要求:超过半数的已发行股本必须有代表出席;该提议需要至少四分之三的投票权。如未达到法定人数要求,应在第一次会议后四周内召开下一次股东大会。在第二次会议上,该决议可获得至少四分之三的票数通过,而不论所代表的股本是多少。如管理委员会提出修订组织章程细则的决议案,则不论股东大会上所代表的股本为何,该决议案将以绝对多数票通过。本公司于2013年2月8日向美国证券交易委员会提交的6-K表格(下称“本公司章程”)的附件99.1列出了本公司章程中最重要的条款,并将其并入本文作为参考。


ASML年报2021年105 82,915,935股普通股由286名登记持有人持有,登记地址在美国。由于我们的某些普通股是由经纪人和代名人持有的,美国的记录持有人数量可能不能代表实益持有人的数量,也不能代表实益持有人居住的地方。每股普通股由900股零碎股份组成。零碎股份使其持有者有权获得零碎股息,但不赋予投票权。只有那些直接在荷兰的股份登记簿中持有股票的人,才能持有零头股份,这些股份由我们在荷兰的地址为5504Dr Veldhoven,de Run 6501,荷兰,或在纽约的股份登记簿中持有,由JP Morgan Chase Bank,N.A.,P.O.Box 64506,St.Paul,MN 55164-0506,United States持有。根据荷兰证券银行转账交易法,通过荷兰中央证券托管机构EuroClear Nederland维持的存款系统或通过托管信托公司持有普通股的股东不能持有零碎股份。没有发行普通股B股,也没有发行累积优先股。特别表决权、限制表决权和股份转让我国股本中对已发行股份没有特别表决权。2012年,我们向三家主要客户-英特尔、台积电和三星-发行了股票,作为客户共同投资计划(CCIP)的一部分,以加快ASML对EUV的开发。根据这一计划,参与客户为某些开发项目提供资金,并投资于ASML的普通股。目前,只有一个参与客户仍然(直接或间接)持有普通股。某些投票权限制适用于与CCIP相关的普通股。关于这些普通股的这些投票权限制列于两个股本之间的基础协议中ASML的法定股本为1.26亿欧元,分为:股份类型股份数量每股面值投票数700,000,000欧元每股0.09欧元9股普通股699,999,000欧元每股0.09股B 9,000欧元每股0.01欧元1面值0.09欧元的已发行和缴足股款普通股如下:截至2019年12月31日的年度2020已发行普通股面值0.09欧元419,810,706 416,514,034 402,601,613已发行普通股,面值0.09 5,848,998 2,983,454 3,873,663总已发行普通股,面值0.09 425,659,704 419,497,488 406,475,276 ASML及相关客户。CCIP发行的股票由基金会持有,基金会向CCIP参与者发行存托凭证。在CCIP推出时,共发行了96,566,077份普通股存托凭证。自那以后,随着相关客户在禁售期届满后抛售,这一数字有所下降。目前,无论是根据荷兰法律还是在ASML的公司章程中,对ASML股本中的普通股转让都没有限制。根据ASML的公司章程,累计优先股的每一次转让都必须得到监事会的批准。发行和回购股份我们的董事会有权发行普通股和累积优先股,只要股东大会授权它这样做。管理委员会需要得到监事会的批准才能解决这个问题。大会的授权只能在不超过五年的一定期限内给予,每次可以延长不超过五年。如果股东大会没有授权管理委员会发行股票,股东大会将被授权根据管理委员会的提议发行股票,前提是监事会批准了这种提议。ASML普通股的持有者拥有优先购买权,优先购买权与他们持有的普通股的总面值成比例。这种优先购买权可以受到限制,也可以被排除在外。普通股持有人对任何为对价而发行的普通股不享有优先购买权,但现金或向员工发行的普通股除外。如获股东大会授权,经监事会批准,管理委员会有权限制或排除普通股持有人的优先购买权。


ASML年度报告2021年106·根据基金会董事会的意见,由一个或多个股东(试图)一致行动行使投票权,与ASML的利益、ASML的业务或ASML的利益相冲突。基金会的目标基金会的目标是照顾到ASML以及由ASML维持的和/或与ASML有关联的企业的利益,以尽可能好的方式保护ASML、这些企业和所有相关方的利益,并尽可能阻止与这些利益相冲突的影响,这可能会影响ASML和这些公司的独立性或身份,并尽其所能阻止与上述有关或可能有助于上述利益的一切事情。基金会旨在通过收购和持有ASML资本中的累积优先股以及行使这些股份所附带的权利,特别是投票权来实现其目标。优先股选择权优先股选择权赋予基金会获得基金会所需数目的累积优先股的权利,但该数目的累积优先股的总面值不得超过行使优先股选择权时发行的普通股的总面值。认购价将等于它们的名义价值。在最初发行累积优先股时,只需支付认购价的四分之一,其余四分之三的面值仅在ASML召回这一金额时支付。行使优先股选择权可有效稀释已发行普通股的投票权一半。取消累计优先股取消和偿还ASML发行的累计优先股需要得到股东大会的授权,并经管理委员会提出并经监事会批准。如果优先股选择权被行使,并因此发行累积优先股,ASML将应基金会的要求,启动回购或取消基金会持有的所有累积优先股。在这种情况下,ASML有义务尽快实施回购和相应的取消。注销将导致偿还已支付的金额,并免除支付累积优先股的义务。只有在累计优先股全部缴足股款后,才能进行回购。如果基金会没有要求ASML在这些股份发行后20个月内回购或注销基金会持有的所有累积优先股,我们将被要求召开股东大会,以决定回购或注销这些股份。为了在2021年的年度股东大会上发行股票,董事会被授权在2021年4月29日至2022年10月29日期间,根据监事会的批准,发行股份和/或其权利,最多可占2021年4月29日我们已发行股本的5%,外加2021年4月29日我们已发行股本的5%,这些股份和/或权利可能与合并、收购和/或(战略)联盟有关而发行。我们的股东还授权管理委员会在2022年10月29日之前,根据监事会的批准,限制或排除普通股持有人的优先购买权,最多不超过我们已发行股本的5%,与发行股份和/或股份权利的一般授权有关,以及与授权发行股份和/或与合并、收购和/或(战略)联盟有关的股份权利的额外5%。我们可以随时回购我们已发行的普通股,但要符合荷兰法律和我们的公司章程的要求。任何此类回购均须经监事会批准和股东大会授权,授权期限不得超过18个月。在2021年股东周年大会上,董事会被授权在2021年10月29日之前回购股份,回购金额最多为2021年4月29日已发行股本的10%,回购价格介于所购普通股面值和这些证券在泛欧交易所阿姆斯特丹交易所或纳斯达克市场价格的110%之间。有关我们的股票回购计划的更多详细信息,请参阅:合并财务报表-合并财务报表附注-附注22股东权益。ASML优先股基金会根据荷兰法律成立的ASML优先股基金会(Stichting Pferente Aandelen ASML)已被授予获得ASML股本中优先股的选择权。基金会可在基金会董事会认为涉及ASML的利益、ASML的业务或ASML的利益相关者的利益的情况下行使优先股选择权。在下列情况下可能会出现这种情况:·宣布或对ASML的股票进行公开竞购,或有理由预期在没有与ASML就此类竞购达成任何协议的情况下进行此类竞购;或


ASML年度报告2021年107董事会基金会是独立于ASML的。基金会董事会由来自荷兰商界和学术界的四名独立成员组成。基金会董事会于2021年12月31日由下列成员组成:A.P.M.范德普尔先生、S.Perrick先生、A.H.Lundqvist先生和J.Streppel先生。除上述与基金会作出的安排外,ASML尚未建立任何其他反收购装置。大股东《荷兰金融市场监管法》和美国证券法都对披露上市公司的资本权益和投票权作出了规定。下表列出了向荷兰AFM或美国美国证券交易委员会报告实益拥有普通股至少占我们已发行和已发行普通股的3.0%(美国证券交易委员会为5.0%)的每位股东所拥有的普通股总数。下表还包括截至2021年12月31日我们管理委员会成员拥有的普通股总数。以下列出的有关股东的信息基于截至2022年1月31日提交给美国证券交易委员会和AFM的公开文件。6类资本研究和管理公司1 63,658,826 15.81%贝莱德股份有限公司2 32,024,422 7.95%百利吉福公司3 18,262,995 4.54%ASML现任董事会成员(5人)4,589,892 0.02%1.如2020年2月28日向AFM报告,资本研究和管理公司(“CRMC”)报告572,929,434项投票权,相当于63,658,826股普通股(基于每股9票),但不报告与该等股份相关的所有权。Capital World Investors在2020年2月14日提交给美国证券交易委员会的附表13-G/A中报告称,由于与CRMC的关系,Capital World Investors是我们34,865,768股普通股的实益拥有人。Capital World Investors是Capital Research and Management Company的一个部门,它及其投资管理子公司和联属公司凯投国际、凯投国际、凯投国际有限公司、凯投国际和凯投国际K.K.(在2021年2月16日提交给美国证券交易委员会的附表13-G/A中报告)宣布,它是我们28,032,968股普通股的实益拥有人。我们认为部分或全部这些股份包括在如上所述的由Capital Research and Management Company拥有的股份中。2.仅根据贝莱德股份有限公司于2021年1月29日提交给美国证券交易委员会的附表13-G/A;贝莱德报告对其中28,755,630股具有投票权。2021年5月10日提交给AFM的公开文件显示,根据当时已发行股票和投票权的总数,间接资本利息总额为5.95%,投票权为5.81%。3.2019年10月1日提交给AFM的一份公开文件显示,Baillie Gifford&Co拥有147,694,140股投票权,相当于18,262,995股(基于每股9票),但没有与这些股票相关的所有权。4.不包括授予管理委员会成员的未归属股份。欲了解更多信息,请参阅领导力与治理-薪酬报告。5.监事会成员不持有任何股份。6.占截至2021年12月31日已发行和已发行普通股总数的百分比402,601,613股,其中不包括已发行但由ASML以国库持有的3,873,663股普通股。向AFM报告的股份所有权百分比是以已发行普通股(包括库存股)总数的百分比表示的,因此,此表中反映的百分比可能与向AFM报告的百分比不同。


ASML《2021年年度报告》108《财务报告和审计》ASML出版了关于2021年财政年度的以下年度报告:·根据荷兰法律的要求编写的法定年度报告。本报告所载财务报表是根据《荷兰民法典》第2册第9部分和欧盟《国际财务报告准则》编制的;·表格20-F年度报告,根据《交易法》的要求编制。其中所包括的财务报表是按照美国公认会计准则编制的。这两份报告具有相同的定性基础,并描述了半导体行业、ASML和ASML股票特有的相同风险因素。我们还通过以下方式提供敏感性分析:·对ASML财务报表的叙述性解释;·分析财务信息的背景;·关于我们的收益和现金流的质量和可变性的信息。关于编制年度报告的过程,我们对报告的内容和布局有广泛的指导方针。这些准则主要基于上述适用的法律和法规。关于这些和其他财务报告的编制过程,我们采用内部程序来保障该等信息的完整性和准确性,作为其披露控制和程序的一部分。披露委员会协助管理委员会监督ASML的披露活动,并确保遵守荷兰和美国法律规定的适用披露要求以及其他监管要求。审计委员会和监事会经常讨论这些内部程序。更多内容请参阅:我们在2021年的表现-治理-我们如何管理风险-企业风险管理,其中讨论了ASML的内部风险管理和控制系统。监事会审查和批准了管理委员会编制的ASML 2021年财务报表,所有监事会成员都签署了这份报表。毕马威已经对我们的财务报表进行了适当的审查,审计师的报告包括在综合财务报表中。外部审计根据荷兰法律,我们的外部审计师是由股东大会根据监事会任命的提名任命的。监事会的提名依据是审计委员会和管理委员会的咨询意见,这两个委员会每年向监事会提交一份关于外聘审计员的业绩、与外聘审计员的关系及其独立性的报告。ASML的现任外聘审计员毕马威于2015年首次由大会任命,担任2016报告年度的审计人员,此后每年都被重新任命。在2020年年度股东大会上,毕马威被任命为2021年报告年度的外聘审计师。审计委员会审查和批准外聘审计员对财政年度内计划进行的审计的审计计划。除其他外,审计计划还包括外聘审计员在对年度账目以外的季度结果进行有限程序方面的活动。拟议的服务可在年初由审计委员会预先批准(年度预先批准),或在特定聘用的情况下由审计委员会在本年度预先批准(具体预先批准)。一年一度的预先审批是基于要提供的服务的详细分项清单,该清单旨在确保在确定一项服务是否已获得批准时没有管理层的自由裁量权,并确保向审计委员会通报其正在预先核准的每项服务。荷兰法规要求严格分离为荷兰公共利益实体提供的审计和咨询服务,而美国法规限制美国上市公司的审计师可以提供的服务。荷兰法律禁止外聘审计员在进行审计时接受其他服务。审计委员会监督荷兰和美国关于外聘审计师提供服务的规定的遵守情况。外聘审计员的报酬由审计委员会代表监事会在征求管理委员会的意见后批准。由于审计委员会在这一领域拥有最相关的洞察力和经验,监事会已将这些职责下放给审计委员会。有关首席会计师费用和服务的更多信息,请参阅:其他附录-附录-首席会计师费用和服务。原则上,外聘审计师出席所有审计委员会会议。这些会议讨论了外聘审计员的调查结果。审计委员会向监事会报告与外聘审计员讨论的议题,包括外聘审计员关于审计年度报告的报告以及年度报告的内容。此外,外聘审计员可出席讨论年度外聘审计报告的监事会会议。外聘审计师还可以参加监事会会议,讨论季度财务结果。如果外聘审计员发现财务报告审计内容不符合规定,审计委员会将立即得到外聘审计员的通知。


ASML年报2021年109外聘核数师出席股东周年大会,就股东对核数师综合财务报表报告提出的问题作出回应。内部审计我们内部审计职能的作用是通过执行独立的程序,如基于风险的运营审计、IT审计和合规审计,评估我们的内部控制系统。内部审计部门直接向审计委员会和管理委员会报告。年度内部审计计划由审计委员会、管理委员会和监事会讨论并批准。每季度与审计委员会讨论内部审计结果的后续行动和与计划相比取得的进展。外部审计师和内部审计部定期举行会议。公司信息ASML Holding N.V.是一家通过其子公司运营的控股公司。我们在荷兰、美国、意大利、法国、德国、英国、爱尔兰、比利时、韩国、台湾、新加坡、中国、香港、日本、马来西亚和以色列设有运营子公司。更多内容请参阅:合并财务报表-合并财务报表附注-附注27子公司和联营公司。美国上市要求由于阿斯麦的纽约股票在纳斯达克证券市场有限责任公司(“纳斯达克”)上市,纳斯达克公司治理标准原则上适用于我们。然而,纳斯达克规则规定,除某些例外情况外,外国私人发行人可以遵循本国的做法,而不是纳斯达克的公司治理标准。我们的公司治理实践主要基于荷兰的要求。下表列出了基于上述例外情况,反垄断法替代纳斯达克规则所遵循的做法。法定人数ASML没有遵循纳斯达克适用于普通股东大会的法定人数要求。根据荷兰法律和普遍接受的荷兰商业惯例,ASML的公司章程规定,股东大会没有普遍适用的法定人数要求。委托书征集没有遵循纳斯达克关于征集委托书和为股东大会提供委托书的要求。ASML为股东大会提供委托书并征集委托书。荷兰公司法规定,荷兰上市公司的强制性(参与和投票)日期为股东大会日期前28天。在该记录日期登记的股东有权出席股东大会并行使其作为股东的权利,而不论在该记录日期后出售股份。分发年度报告ASML不遵守纳斯达克关于在股东周年大会之前向股东分发包含经审计财务报表的年度报告副本的要求。根据荷兰公司法或荷兰证券法,或阿姆斯特丹泛欧交易所,不要求向股东分发我们的年度报告。此外,荷兰公司不分发年度报告是普遍接受的商业惯例。这在一定程度上是因为荷兰的无记名股份制度使得为了分发年度报告而保留无记名股份持有者的当前名单是不切实际的。相反,我们将于股东周年大会召开前42天,于荷兰公司总部(以及会议召集通知中所述的荷兰上市代理办事处)提供我们的年度报告。此外,在年度股东大会之前,我们会在我们的网站上张贴一份我们的年度报告。股权薪酬安排ASML没有遵循纳斯达克的要求,即高管、董事或员工可以获得的股票期权或购买计划或其他股权薪酬安排必须获得股东的批准。根据荷兰法律或普遍接受的惯例,荷兰公司在高管、董事或员工可获得的股权薪酬安排上,不需要获得股东的批准。股东大会通过管理委员会的薪酬政策,批准管理委员会的股权薪酬安排,并批准监事会的薪酬。薪酬委员会评估管理委员会个别成员在短期和长期量化业绩方面的业绩,全体监事会评估量化业绩标准。员工权益补偿安排由管理委员会在股东大会批准的范围内通过。遵守公司治理守则我们密切关注公司治理领域的发展,以及相关公司治理规则对ASML的适用性。对ASML公司治理结构或《公司治理守则》应用的任何重大改变都将提交股东大会讨论。我们认为,ASML完全符合荷兰公司治理准则。管理委员会和监事会,维尔德霍温,2022年2月9日


ASML年度报告2021年110我们如何管理风险ASML通过企业风险管理(ERM)框架管理风险,该框架将风险管理整合到我们的日常业务活动和战略规划中。企业风险管理我们通过定义良好的治理结构和强大的企业风险管理流程部署我们的企业风险管理框架。风险和业务保障职能推动了整个ASML及其附属机构的ERM流程和相关活动。它通过制定标准和使管理层能够使ASML的治理、风险管理、内部控制和合规更加高效和有效,采取系统的方法来识别、管理和监测风险,以追求我们的业务目标。该框架还有助于确定机会,使我们能够实现我们的目标并实现持续的可持续增长。错误管理是一个持续的过程。它的相关活动定期重复,以及时查明和处理风险,其结果仍然与决策目的相关。我们负责风险和业务保障的总裁副主管向ASML首席财务官汇报,负责领导企业风险管理框架的开发和维护,并确保企业风险管理流程的实施。ASML采用了国际标准化组织31000:2018年标准作为其企业风险管理的基础。此外,风险和业务保证部副总裁负责领导安全、内部控制职能,并负责合规流程的制定和维护。风险管理治理结构风险偏好·风险管理政策·CRC小组委员会(治理)·风险评估结果·风险应对进展·事件监事会审计委员会公司风险委员会风险监督披露委员会内部控制委员会评估对财务报告的内部控制要求调查特定风险主题·两年一次的风险审查·风险专题反馈·对控制有效性的断言·季度进度报告·控制有效性·风险所有者管理委员会监事会和审计委员会监事会根据定期风险审查,对管理层对识别和减轻关键风险领域的反应进行独立监督。监督委员会的审计委员会对机构风险管理进程进行独立监督,并根据季度最新进展情况及时对优先行动采取后续行动。管理委员会管理委员会负责管理与我们的业务活动相关的内部和外部风险,并确保我们遵守适用的法律和法规。管理委员会已将其风险监督委托给ASML的公司风险委员会。公司风险委员会公司风险委员会是一个中央风险监督机构,负责审查、管理和控制ASML风险领域的风险,包括信息安全。它还批准了风险偏好、风险管理政策和风险缓解战略。公司风险委员会由首席财务官担任主席,由来自ASML所有部门的高级管理代表组成,包括首席执行官和首席运营官。


ASML年度报告2021年111披露委员会协助管理委员会监督ASML的披露活动,以及遵守荷兰和美国法律以及适用的证券交易所法规和其他监管要求的适用披露要求。内部控制委员会内部控制委员会包括披露委员会的成员,根据萨班斯-奥克斯利法案第404条,在评估我们对财务报告和披露的内部控制时,内部控制委员会向披露委员会以及首席执行官和首席财务官提供建议。内部控制委员会主席向审计委员会、首席执行官和首席财务官通报本次评估的最新进展情况。主席还在提交给审计委员会的报告中列入了这一最新情况。风险所有者风险所有者监控ASML风险世界中的风险发展,并根据公司风险委员会定义的要求推动整个ASML组织的风险应对。ASML风险领域ASML风险领域是对可能对实现我们的业务目标产生重大不利影响的风险的综合概述。它由38个风险类别组成,分为6个风险类型。这使我们在评估风险时能够在ASML中采用一致的方法。我们考虑到广泛的内部和外部信息来源,例如宏观经济和行业趋势、相关准则和立法,以及利益攸关方在所有领域的需求和期望。每年审查、更新和批准风险范围,如果内部和/或相关的外部事态发展重大,则更频繁地审查、更新和批准风险范围。战略和产品财务和报告合作伙伴人员运营·业务规划风险·汇率风险·流动性风险·利率风险·资本可用性风险·交易对手信用风险·股东维权风险·披露/外部报告风险·行业周期风险·政治风险·气候变化风险法律与合规·法律责任风险·违反法律法规风险·内部控制/合规风险·客户依赖风险·所有权成本风险·产品/服务质量风险·供应商战略与业绩风险·供应链中断风险·知识管理风险·组织效能风险·人力资源风险·劳动条件风险·产品产业化风险·过程有效性与效率风险·资产保护风险·环境健康与安全风险·自身运营的连续性风险·信息安全风险·信息技术风险·产品组合风险·商业模式风险·并购风险·竞争风险·创新风险·产品管理风险·路线图执行·知识产权ASML风险企业风险管理流程我们的企业风险管理流程提供了一个自上而下(公司层面)和自下而上(组织和流程层面)相结合的整体方法。这有助于我们确保在正确的级别执行风险识别、评估和管理。我们的企业风险管理流程正在不断改进。例如,我们从2021年开始实施关键风险指标。定期风险评估的结果以及外部趋势和新出现的风险的潜在影响包含在ASML风险图景中。由于我们在一个动态的环境中运营,风险敞口可能会发生变化。公司风险委员会每季度审查、更新和讨论ASML风险情况。风险的执行


ASML 2021年年度报告112评估是根据风险管理计划和公司风险委员会批准的任何额外约定进行的。我们定义了应对相关风险的战略,并在确定公司优先事项时将这些风险考虑在内。ASML定义了风险应对措施,目的是将风险降低到风险偏好定义的水平。风险管理流程风险偏好风险处理风险识别风险分析风险评估风险状况风险评估企业风险委员会/风险所有者/新兴风险协调和跟踪风险所有者自下而上风险评估国家/部门管理层执行行动所有者风险评估风险应对风险偏好我们的风险偏好取决于风险的性质。ASML的风险偏好--ASML为实现其目标而愿意接受的风险水平--可能会根据具体风险而有所不同,分为五个级别:厌恶、审慎、中等、高度和广泛。我们的方法旨在将风险降低到我们的风险偏好中定义的水平。风险类型战略和产品合作伙伴人员运营财务和报告法律和合规厌恶谨慎适度广泛


ASML 2021年年度报告113风险事态发展下表列出了影响2021年一系列风险类别风险敞口的外部事态发展实例,包括我们的应对实例。下面列出的风险和风险应对措施并不详尽。满足需求的挑战风险类别所有细分市场和我们的产品组合的需求都在不断增长,这对我们来说是一个机遇,但也带来了挑战。我们的系统在需求激增的过程中至关重要。我们注意到,为了满足这一需求,我们的端到端供应链正在扩大产能。芯片和材料短缺放大了这一点。此外,在当前竞争激烈的市场中,加大招聘和留住劳动力的力度越来越具有挑战性。我们业务的增长也可能导致福利问题,越来越多地使用变通办法,在某些情况下还会带来不遵守内部流程和/或控制的风险。我们的流程和系统可能无法充分支持我们的增长和发展。我们一直并将继续经历的需求增长可能会改变客户的采购策略,减少对ASML的依赖。这可能会影响我们在某些细分市场的市场份额。·供应商战略和绩效·供应链中断·产品工业化·人力资源·产品和服务质量·竞争·行业周期·政治·法律责任·流程有效性和效率·违反法律法规·风险应对·短期和长期提高制造能力和利用率·周期时间缩短·供应商支持,以提高搬运率和缓解芯片和材料短缺·改进薪酬提供和增强招聘活动·执行福利计划·增加培训计划和入职经验·内部控制框架和评估知识产权技术领先压力风险类别ASML及其开放创新合作伙伴在技术和知识产权保护方面面临更大压力。ASML的存在是以人和知识为基础的。未经授权披露ASML及其客户或供应商的信息可能会使竞争对手受益,对ASML申请专利的能力产生负面影响,或影响与客户和供应商的合作。我们的信息技术系统正遭受网络攻击,我们的供应商、客户和其他服务提供商也遭受此类网络攻击。我们致力于保护我们和我们合作伙伴的信息资产。我们观察到,2021年的风险敞口仍然很高。·信息安全·知识产权·竞争风险应对·信息安全职能和信息安全政策,以实施控制措施,确保信息的授权使用·显著增加我们的信息安全投资(人员、系统)和安全路线图,以提高我们流程和系统的安全性·网络防御中心·安全事件响应程序到位,并至少每年测试一次·意识和培训计划·知识产权管理·专利和相关技术出版物监测


ASML年度报告2021年114地缘政治紧张风险类别出口限制正在上升,全球贸易正在从全球化转向区域化,特别是在中国和美国以及争取技术主权的国家之间。这可能会导致一个脱钩的生态系统,并--长期而言--产能过剩。贸易和出口壁垒影响了我们向某些客户销售和维护系统的能力,并通过限制我们在某些司法管辖区或某些客户销售我们的产品和服务的能力来影响我们的业务。地缘政治紧张局势还导致员工跨国流动受到限制。保护主义和官僚主义正在增加,以及对来自某些国家的国际知识工作者的限制(例如,限制技术准入、签证/旅行限制)。我们的目标是尽我们所能为世界各地的所有客户提供服务和支持,同时遵守我们运营所在司法管辖区制定的法律和法规。2021年,政治紧张、保护主义和限制的风险敞口仍然很高。·政治·自身业务的连续性·人力资源·商业模式·行业周期·违反法律法规的行为·风险应对·监测地缘政治事态发展·按要求申请出口许可证·遵守(现有和新的)法规·与同行在全球宣传新冠肺炎大流行风险类别新冠肺炎已蔓延至全球,导致隔离、旅行和工作场所限制、业务关闭和限制、供应链中断、劳动力短缺、立法变化以及整体经济和金融市场不稳定。这场大流行对全球经济产生了影响。展望未来,形势将如何发展,以及对全球GDP发展、(终端)市场以及我们的制造能力和供应链将产生什么影响,仍存在不确定性。2021年,新冠肺炎疫情对我们运营的影响有限-与2020年相比,风险敞口更加可控。·自身运营的连续性·供应链中断·环境、健康和安全·人力资源·流程有效性和效率·路线图执行·信息安全风险应对·将我们员工的健康和安全作为我们的首要任务,并在全球范围内实施预防措施·强大的财务能力,以应对经济低迷·激活业务连续性管理计划·积极参与我们的关键供应商,增加库存·在客户现场实施虚拟远程支持解决方案·实施促进(安全)远程工作和支持员工福祉的措施


ASML年报2021年115风险因素在开展业务时,我们面临许多风险,这些风险可能会干扰我们的业务目标。了解这些风险的性质是很重要的。我们通过使用ASML风险全域来评估我们的风险,包括六种风险类型(战略和产品、财务和报告、合作伙伴、人员、运营、法律和合规)。以下风险因素被归类为这六种风险类型。其中所述的任何风险和事件或情况都可能对我们的业务、财务状况、经营结果和声誉产生重大不利影响。这些风险不是我们面临的唯一风险。一些风险可能还不为我们所知,而某些我们目前认为不重要的风险可能会在未来变得重要。战略和产品我们未来的成功取决于我们对半导体行业风险类别中的商业和技术发展做出及时反应的能力:商业模式、创新我们在开发新技术和产品以及增强我们现有产品方面的成功取决于各种因素。这包括我们和我们的供应商研发计划的成功,以及相对于竞争对手及时和成功地完成产品开发和设计。如果我们追求的帮助客户生产更小、更节能芯片的技术不如竞争对手开发的技术有效,或者如果我们的客户不采用我们开发的技术或采用不那么专注于光刻产品的新技术架构,我们的业务将受到影响。我们的EUV 0.55 NA(High-NA)技术的成功,我们认为这是跟上摩尔定律的关键,仍然依赖于我们和我们的供应商不断的技术进步。我们投入了大量的财政和其他资源来开发和引入新技术、产品和产品增强。如果我们在开发(或我们的客户不采用)新技术、产品和产品增强功能(如EUV 0.55 NA和多波束检测)方面不成功,或者如果竞争对手成功引入替代技术或工艺,我们的竞争地位和业务可能会受到影响。此外,我们在新产品开发和产品增强方面进行了大量投资,但我们可能无法收回部分或全部投资。由于技术变化,我们还可能产生与库存陈旧相关的成本。随着技术复杂性的增加,这样的成本可能会增加。由于我们系统的高度复杂性和成本,包括较新的技术,我们的客户可能会购买现有的技术系统,而不是新的尖端系统,或者可能会推迟他们对新技术系统的投资,因为考虑到他们的产品周期,这种投资不划算或不必要。全球经济状况影响我们的客户的投资决策,导致对新的领先系统的推出和需求的时间上的不确定性。我们的一些客户在实施其产品路线图方面已经并可能继续遇到延迟。这增加了降低引入新节点以及新系统的整体过渡期(或节奏)的风险。我们还依赖我们的供应商维护他们的开发路线图,使我们能够及时引入新技术。如果他们无法跟上步伐,无论是由于技术因素、缺乏财政资源或其他原因,这可能会阻止我们实现我们的发展路线图。新产品的成功推出是不确定的,取决于我们成功执行研发计划的能力风险类别:路线图执行、创新我们的光刻系统和应用程序变得越来越复杂,相应地,开发新产品和技术的成本和时间周期也增加了。我们预计这样的成本和时间段将继续增加。特别是,开发新技术,如EUV 0.55 NA(高NA)和多波束,需要我们和我们的供应商进行大量的研发投资,以满足我们和我们客户的技术需求。我们的供应商可能没有或不愿意投资必要的资源,以继续(共同)开发新技术,直到这种投资是必要的程度。这可能会导致ASML向这样的研发计划提供资金,或者限制我们可以承担的研发投资。此外,如果我们的研发计划不能按时或根本不成功地开发所需的新技术,我们可能无法成功地推出新产品,无法收回我们的研发投资。我们面临着激烈的竞争风险类:竞争光刻设备行业竞争激烈。我们的竞争力取决于我们的发展能力


ASML年度报告2021年116以具有竞争力的价格及时推出的新型和增强型光刻设备、相关应用和服务,以及我们保护和捍卫知识产权的能力。我们主要在DUV系统方面与佳能和尼康竞争。佳能和尼康都拥有雄厚的财力和广泛的专利组合。每家公司都继续提供与我们的DUV系统直接竞争的产品,这可能会影响我们的销售或业务。此外,不利的市场条件、行业产能过剩或日元对欧元的贬值,可能会进一步加剧基于价格的竞争,导致价格下降,销售额和利润率下降。我们还可能面临来自拥有大量财政资源的新竞争对手的竞争,以及来自地缘政治背景下自给自足野心驱使的竞争对手的竞争。此外,我们还面临来自替代技术解决方案或半导体制造工艺的竞争,特别是如果我们未能以及时和具有成本竞争力的方式开发新的EUV技术、产品和产品增强功能。我们还与支持或增强复杂图案解决方案的应用程序提供商竞争,如应用材料公司和KLA-Tencent公司。这些应用程序有效地与我们的应用程序产品竞争,这是我们业务的重要组成部分。我们在应用业务上面临的竞争可能比我们的系统更高,因为这个市场上有更多的竞争对手和潜在的竞争对手。半导体行业可能是周期性的,我们可能会受到任何低迷风险类别的不利影响:行业周期风险作为全球半导体行业的供应商,我们受到行业商业周期的影响,其时间、持续时间和波动性很难预测。半导体行业在历史上一直是周期性的。该行业的新进入者,包括中国半导体制造商,可能会增加未来周期性的风险。某些关键的终端市场客户--Memory和Logic--表现出不同程度的周期性和不同的商业周期。我们光刻系统、服务和其他整体光刻产品的销售在很大程度上取决于半导体制造商的资本支出水平。这些反过来又受到行业周期、对技术主权的推动以及一系列竞争和市场因素的影响,包括半导体行业的条件和前景。我们客户的资本支出的时机和规模也影响了该行业生产芯片的可用产能,这可能导致芯片供需失衡。客户资本支出的减少或延迟,或我们对客户资本支出的错误假设,都可能对我们的业务产生不利影响。此外,目前对我们的业务产生积极影响的行业趋势,如我们客户增加的资本支出,可能不会继续下去。在行业低迷时期,我们能否保持盈利能力将在很大程度上取决于我们是否能够降低成本和盈亏平衡水平,这是我们必须在一年内达到的销售水平,才能获得正的净利润。如果由于行业不景气导致销售额大幅下降,而我们无法在同一时期调整成本,我们的净收入可能会大幅下降,或者我们可能会蒙受损失。此外,随着每个系统的价值增加,我们在员工、设施和库存方面已经并继续增长,我们可能更难降低成本以应对行业低迷。我们的大部分收入来自销售数量相对较少的产品风险类别:商业模式、产品组合我们的大部分收入来自销售数量相对较少的光刻系统(2021年为309套,2020年为258套)。因此,少数系统在特定报告期内的发货时间(包括任何延迟)以及对系统销售的确认可能会对我们在此期间的业务、财务状况和运营结果产生重大不利影响。由于与DUV系统相比,EUV系统的平均销售价格更高,这种风险正在增加。此外,我们还从维护和升级现有客户群中获得了可观的收入。然而,我们可能无法像我们计划的那样增加收入,例如,客户可能会自己执行更多此类服务,或者为该服务寻找其他第三方供应商。未能充分保护知识产权、商业秘密或其他机密信息可能会损害我们的业务风险类别:知识产权我们依靠专利和版权等知识产权来保护我们的专有技术和应用程序。然而,我们面临的风险是,这些措施可能被证明是不充分的,我们可能遭受物质伤害,因为除其他外:·知识产权法可能不足以支持我们的专有权,或者可能在未来以不利于我们的方式发生变化;·我们与客户、员工和技术开发合作伙伴以及其他人达成的保护我们知识产权的保密和许可协议可能不够充分,或者可能被违反或终止;·专利权可能不会像我们预期的那样被授予或解释;


ASML年度报告2021年117·专利权将到期,这可能导致关键技术变得广泛可用,可能损害我们的竞争地位;·我们为防止挪用或侵犯我们的专有权而采取的步骤可能不会成功;·知识产权在这些国家很难执行,因为在这些国家,管理知识产权的法律的应用和执行可能没有达到与我们开展业务的其他司法管辖区相同的水平;以及·第三方可能能够开发或获得类似竞争技术的专利。此外,执行我们的知识产权可能需要法律程序,其有效性和范围可能会受到其他人的质疑。任何此类诉讼都可能导致巨额成本和管理资源的转移,如果决定对我们不利,可能会导致重大成本或对我们的业务产生重大影响。我们受到第三方或我们自己员工的企图挪用攻击,包括窃取我们的商业机密、专有客户数据、知识产权或其他机密信息。尽管我们努力保护我们的知识产权,但未经授权的第三方仍有可能获取、复制、使用或披露我们的专有技术、我们的产品、设计、工艺和其他知识产权。2021年,我们注意到有报道称,与Xtal Inc.关联的东方景源电子(“东方景源”)正积极在中国营销可能侵犯ASML知识产权的产品。更多内容请阅读:我们在2021年的表现-治理-负责任的企业-知识产权保护。对他人提出的知识产权索赔进行辩护可能会损害我们的业务风险类别:在我们的业务过程中,我们受到第三方的索赔,指控我们的产品或工艺侵犯了他们的知识产权。如果成功,此类索赔可能会限制或禁止我们开发技术、制造和销售我们的产品。此外,我们的客户或供应商可能会受到第三方的侵权指控,指控该等客户在制造半导体产品和/或与使用我们的产品相关的过程中使用我们的产品侵犯了向该第三方颁发的一项或多项专利。如果此类索赔成功,我们可能被要求赔偿我们的客户或供应商因此类侵权行为而遭受的部分或全部损失或损害评估。我们还可能为解决索赔或潜在地加强或扩大我们的知识产权或限制我们对第三方知识产权索赔而产生巨额许可或和解成本。专利诉讼是复杂的,可能会持续很长一段时间,这可能会产生巨额费用,并转移关键管理和技术人员的注意力。专利诉讼的潜在不利结果可能包括支付巨额金钱损害赔偿金、禁止我们制造、出口或销售产品的禁令救济,和/或涉及由我们支付巨额费用的和解。我们面临着国际业务风险类别中的经济和政治发展:全球政治贸易问题、多边和双边条约和贸易政策的变化和不确定性,以及国际贸易争端、贸易制裁、出口管制、关税和类似法规,影响我们在国际上提供系统和服务的能力。特别是,我们在某些国家/地区(如中国)交付系统的能力一直并将继续受到我们能否获得所需许可证和批准的影响。美国政府已经制定了包括进口关税、国家安全法规和限制与某些中国实体开展业务的贸易措施,限制了我们在没有许可证的情况下向此类实体提供某些产品和服务的能力。受贸易限制影响的中国实体名单以及出口监管要求以及此类监管的实施和执行情况可能会发生变化。我们的业务涉及向多个国家和地区的客户销售系统和服务,包括中国,我们的业务近年来在这些国家和地区取得了增长,并包括可能受到更多出口法规、政策或做法影响的敏感技术。多边和双边条约、国家法规以及贸易、国家安全和投资政策和做法方面的这些和进一步的发展已经并可能进一步影响我们的业务以及我们供应商和客户的业务。这些发展已经并将继续影响我们获得必要许可证的能力,包括使用美国技术以及生产和开发此类技术的员工的许可证。这些发展,包括对技术主权的追求,也可能导致全球贸易、竞争和技术供应链的长期变化,这可能对我们的业务和增长前景产生不利影响。我们的某些制造设施以及客户都位于台湾。台湾客户占我们2021年总净销售额的39.4%,占我们2020年总净销售额的33.8%。台湾有独一无二的


《2021年ASML年度报告》118《国际政治状况》。人民Republic of China主张对台湾拥有主权,不承认台湾政府的合法性。台湾与人民关系的变化,Republic of China,台湾政府的政策,以及其他影响台湾政治、经济或社会环境的因素,都可能对我们的业务、财务状况和经营业绩产生实质性的不利影响。此外,我们的某些设施以及客户都位于韩国。韩国客户占我们2021年总净销售额的33.4%,占我们2020年总净销售额的29.7%。与朝鲜民主主义人民共和国(北朝鲜)的紧张关系自第二次世界大战后朝鲜半岛分裂以来一直存在。这些国家之间关系的恶化或朝鲜半岛战争的爆发可能对我们的业务、财务状况或业务结果产生重大不利影响。我们可能无法进行理想的收购或成功整合我们收购的任何业务:风险类别:我们可能不时收购的业务或技术,以补充、增强或扩展我们现有的业务或产品,或可能为我们提供增长机会的业务或产品。任何此类收购都可能导致我们无法实现财务或战略目标,无法按照我们的计划执行或扰乱我们正在进行的业务,并对我们的运营结果产生不利影响。此外,我们完成这类交易的能力可能会受到许多因素的阻碍,包括在获得政府批准方面的潜在困难。我们进行的任何收购都可能带来与新业务或技术与我们的业务和组织集成相关的风险。我们不能确定我们是否能够从一项特定的收购投资中获得我们预期的好处。这样的交易也可能会给我们的管理和运营资源带来压力,因为管理新业务的挑战可能会转移我们对日常业务的管理。此外,我们可能无法留住被收购企业的关键人员,或者可能难以整合员工、业务系统和技术。被收购企业的控制、流程和程序也可能无法充分确保遵守法律法规,我们可能无法确定合规问题或责任。在收购方面,反垄断和国家安全监管机构过去曾对我们施加条件,未来也可能对我们施加条件,包括要求剥离资产或其他可能使我们难以整合所收购业务的条件。此外,我们可能难以获得或无法获得反垄断和国家安全许可,这可能会阻碍未来想要的收购。作为收购的结果,我们已记录,并可能继续记录大量商誉和其他无形资产。现行会计准则要求至少每年评估是否有指标表明商誉或其他无形资产的价值已经减值,并可能更频繁地进行评估。我们可能无法实现我们的环境、社会、治理(ESG)目标,也无法及时适应和响应新出现的ESG期望和法规风险类别:气候变化,所有行业的产品管理公司都面临着与其ESG政策相关的越来越严格的审查。投资者和其他利益相关者越来越关注ESG实践,近年来,他们越来越重视投资的影响和社会成本。特别是,在半导体行业内,重点是对社会的贡献,并将产品在整个生命周期阶段对环境和社会的影响降至最低。未能实现我们的ESG目标、满足利益相关者新出现的ESG期望和/或未能及时响应强化的法规可能会对我们的品牌和声誉造成负面影响。气候变化导致极端天气事件日益严重和频繁,海平面上升和干旱可能会影响我们业务和/或供应链的连续性。对气候变化的担忧及其潜在的环境影响可能会导致新的法律法规,可能会影响我们、我们的供应商和我们的客户。此类法律或法规可能会导致我们因合规而产生额外的直接成本,以及由我们的客户和供应商产生的增加的间接成本。此外,降低我们与产品相关的环境性能(如能源效率)的能力可能会受到我们技术和产品复杂性的影响。我们还依赖我们的供应商及其减少生态足迹的能力。全球向低碳经济的过渡导致实施了更多的监管,这可能会导致技术限制、产品设计修改、能源价格和能源或碳税的增加、对污染的限制、所需的补救设备或其他要求。已经出台了各种监管发展,重点是限制或管理二氧化碳和其他温室气体的排放。这可能导致需要重新设计产品和/或以更高的成本购买具有较低碳足迹的新设备或材料。


ASML年报2021年119财务和报告我们面临国库风险,包括流动性风险、利率风险、信用风险和外汇风险风险类别:流动性、利率、交易对手信用、外汇我们是一家全球性公司,面临各种财务风险,包括流动性风险、利率风险、信用风险、外汇风险、通胀风险。流动性风险:我们面临流动性风险。我们业务或全球资本市场的负面发展可能会影响我们履行财务义务的能力,或在资本或贷款市场筹集或再融资债务的能力。此外,由于法律限制或所需手续,我们可能无法立即将现金从一个国家汇回其他地方使用。利率风险:我们面临利率风险。我们的欧洲债券以固定利率计息。我们的现金和投资以及我们的循环信贷安排按浮动利率计息。如果不能有效地对冲这一风险,可能会影响我们的财务状况和经营业绩。此外,由于评级下调(或降级预期)、资本和贷款市场的发展或我们业务的发展,我们的借贷成本可能会上升。交易对手信用风险:我们面临交易对手信用风险,特别是与我们持有现金和投资的金融交易对手以及我们的客户有关的风险。由于我们的客户数量有限,我们的应收账款的信用风险集中。截至2021年12月31日,我们的三个最大客户(基于总净销售额)占应收账款和融资应收账款的38.552亿欧元,占83.7%,而截至2020年12月31日,该公司的应收账款和融资应收账款为27.57亿欧元,占80.1%。因此,我们的一个主要客户的业务失败或资不抵债可能会导致重大的信用损失。货币风险:我们面临着货币风险。我们的财务报表是以欧元表示的。因此,我们的运营结果可能会受到欧元与其他货币之间汇率波动的影响。货币汇率的变化可能会导致我们的财务报表出现亏损。我们特别容易受到美元与欧元汇率波动的影响,而日元、韩元、台币和人民币相对于欧元的汇率波动程度较小。我们的销售成本主要以欧元计价,部分也以美元和新台币计价。我们的一小部分经营业绩是由欧元、美元、日元、韩元、台币或人民币以外的货币变动推动的。一般而言,我们的客户以美元经营业务,因此美元兑欧元的疲软可能会影响我们的客户以报价购买我们产品的能力或意愿。通胀风险:由于供应短缺,我们面临着商品、运输和工资成本的通胀,这可能会影响我们的盈利能力。目前,供应链约束导致了高于正常水平的通胀。合作伙伴我们的成功高度依赖于有限数量的单一来源关键组件关键供应商的表现风险类别:供应链中断、供应商战略和性能我们依赖外部供应商提供我们系统中使用的组件和子组件,包括其设计。这些部件和子组件从单个供应商或有限数量的供应商处获得。随着我们业务的增长,我们对单一供应商或数量有限的供应商的依赖也在增加,因为我们的许多部件高度专业化,特别是EUV系统,这意味着从多个供应商采购并不划算。因此,我们的采购战略(在许多情况下)规定了“单一采购,双重能力”。我们对有限的供应商集团的依赖涉及几个风险,包括可能无法及时或根本无法获得足够的所需部件或部件供应,因转向替代供应商而产生的额外成本,以及对定价和质量的控制减少。这些组件和组件的供应延迟可能是由于各种原因造成的,例如我们的供应商经历的中断,包括停工、火灾、能源短缺、大流行爆发、洪水、网络攻击、破坏或其他灾难、自然或其他灾难,都可能导致我们的产品延迟交付,从而影响我们的业务。例如,由于芯片和材料短缺,我们的某些供应商经历了运营中断。长期无法获得部件或组件的充分交付,或任何其他需要我们寻找替代供应来源的情况,可能会严重阻碍我们及时交付产品的能力,这可能会损害我们与客户的关系,并对我们的业务造成实质性影响。我们能够生产的光刻系统的数量可能会受到我们的主要供应商之一Carl Zeiss SMT GmbH的生产能力的限制,该公司是我们的透镜、反射镜、照明器、收集器和其他关键光学部件(我们称为光学部件)的唯一供应商。我们与卡尔蔡司有独家协议(见我们年报中的关联方段落)。


ASML年度报告2021年120 SMT GmbH,如果他们无法维持和提高生产水平,我们可能无法完成订单,这可能会对我们的业务产生实质性影响,并损害我们与客户的关系。如果卡尔·蔡司SMT有限公司终止与我们的供应关系,或者卡尔·蔡司SMT有限公司无法长期维持光学产品的生产,我们实际上将无法开展业务。我们不时会遇到供应紧张,这可能会影响我们的生产,特别是在我们在2021年经历并将继续经历的需求增加时期。2021年,我们的供应链出现了一些延误和短缺,导致一些系统的组装起步较晚。此外,在2021年,由于需求旺盛,我们一直在缩短工厂的周期时间,以发运更多系统。缩短周期的一种方法是通过快速发货过程,跳过我们工厂的一些测试。然后在客户现场进行最终测试和正式验收。这导致对这些发货量的收入确认推迟到客户正式接受,但确实为我们的客户提供了更早获得晶片产能的机会。我们和我们的供应商正在投资增加产能以满足这一需求,但增加产能需要时间,我们可能在几年内无法满足客户的全部需求。此外,我们还面临着需求可能不会继续增长的风险,这可能会导致产能过剩和增加产能的投资损失。此外,我们的一些主要供应商,包括卡尔·蔡司SMT有限公司,其制造设施数量有限,制造设施的中断可能会对我们的生产能力造成重大不利影响。随着我们的产品变得更加复杂,获得组件的交付期也增加了,而我们未能充分预测系统需求或组件发货的任何延迟都可能导致组件供应不足,这可能会导致系统交付的延迟,并可能限制我们对不断变化的市场条件做出快速反应的能力。相反,未能预测需求可能会导致库存过剩和陈旧。我们还依赖供应商开发新的型号和产品,并满足我们的发展路线图。如果我们的供应商在产品开发方面不符合我们的要求或时间表,我们的业务可能会受到影响。很高比例的净销售额来自少数客户风险类别:客户依赖性历史上,我们向有限数量的客户销售了大量的光刻系统。由于半导体制造业的持续整合,客户集中度可能会增加。此外,尽管我们整体光刻解决方案中的应用程序部分在我们的收入中所占的比例越来越大,但这些客户中有很大一部分是与我们系统的客户相同的客户。因此,虽然我们最大客户的身份可能每年都会有所不同,但在任何一年,销售可能都会集中在相对较少的客户身上。我们每年面向最大客户的公认净销售额总额为68.811亿欧元,占2021年总净销售额的37.0%,而2020年为43.948亿欧元,占总净销售额的31.4%。2021年,总净销售额的66.3%来自两个客户。任何重要客户的流失或重要客户订单的大幅减少或延迟,都可能对我们的业务、财务状况和运营结果产生重大不利影响。员工我们的业务和未来的成功取决于我们管理组织增长的能力,以及吸引和保留足够数量受过充分教育和技能的员工的能力:人力资源、知识管理、组织效率我们的业务和未来的成功在很大程度上取决于我们的员工,包括大量高素质的专业人员,以及我们吸引和留住员工的能力。对这类人员的竞争非常激烈,在过去一年里更加激烈。尽管我们有能力显著扩大员工基础,但吸引足够数量的合格员工来满足我们日益增长的需求仍将是一个挑战。这种无法吸引和留住合格人才的风险随着我们业务的增长而增加。我们的研发项目需要大量合格的员工。如果我们无法吸引足够数量的合格员工,这可能会影响我们及时进行研发的能力。此外,由于疾病等意外原因而失去关键员工也是一种风险。此外,由于我们技术的独特性和复杂性,能够在我们的系统上工作的合格工程师很少,而且通常无法获得(例如,来自其他行业或公司的)。因此,我们必须教育和培训我们的员工使用我们的系统。留住这些关键员工是我们作为一家公司的关键成功因素。此外,我们产品的日益复杂导致新员工和现有员工和供应商的学习曲线更长,导致无法缩短周期时间,并可能导致大量额外成本的发生。我们的供应商也面临着类似的风险


ASML年度报告2021年121在吸引合格员工方面,包括在支持我们的研发计划和技术开发的计划中吸引员工。如果我们的供应商无法吸引到合格的员工,这可能会影响我们的研发计划或向我们交付零部件。近年来,我们的组织有了显著的发展。由于这种短时间内的增长,我们可能无法有效地管理、监控和控制我们的员工、设施、运营和其他资源。我们的发展给我们的组织和人员带来了持续的压力,这可能会导致我们员工的福祉问题。运营我们在管理我们产品的工业化和将其带入大批量生产风险类别方面可能面临挑战:产品工业化将我们的产品以基于价值的价格和成本效益的方式带入大批量生产,取决于我们管理产品工业化的能力和我们管理成本的能力。客户对我们产品的接受程度取决于我们产品在该领域的表现。随着我们的产品变得越来越复杂,我们面临着越来越大的风险,即我们开发的产品可能不符合开发里程碑或规范,并且我们的产品可能不符合规范,包括质量标准。如果我们的产品没有按照规格和性能标准运行,或者如果出现质量或性能问题,这可能会导致额外的成本、对我们产品的需求减少,以及我们的客户无法满足计划的晶圆产能。将我们新开发的产品过渡到全面生产需要扩大我们的基础设施,包括增强我们的制造能力、增加零部件供应和培训合格人员,还可能需要我们的供应商扩大他们的基础设施能力。如果我们或我们的供应商无法根据需要扩展基础设施,我们可能无法及时或根本无法引入新技术、产品或产品增强功能或实现新开发产品的大批量生产。此外,当我们成功地将新产品产业化时,可能需要数年时间才能达到有利可图的利润,就像EUV的情况一样。新技术可能不具有与现有技术相同的利润率,我们可能无法有效地调整基于价值的定价和/或成本。此外,新技术、产品或产品改进的引入也会影响ASML的流动性,因为新产品的生产周期可能更长,从而导致营运资金需求增加。随着我们的产品变得更加复杂和昂贵,这种对流动性的影响也会增加。提供所需的客户支持功能以满足越来越多的装运和维修越来越多在外地运行的EUV系统所涉及的能力、能力和成本可能会影响装运的时间以及有效地执行维护、维修和升级,这是系统继续达到所需生产力的关键。我们依赖于有限数量制造设施的持续运营风险类别:自身运营的连续性我们的所有制造活动,包括组件组装、最终组装和系统测试,都在净化室设施中进行,包括荷兰维尔德霍温、德国柏林、美国康涅狄格州威尔顿、美国加利福尼亚州圣地亚哥和圣何塞、韩国平泽、北京、中国以及台湾林口和台南。这些设施可能会因各种原因而中断,包括停工、火灾、能源短缺、大流行病爆发、洪水、网络攻击、破坏或其他自然或其他灾难。如果发生重大中断,我们无法确保有替代产能可用。随着我们组织的发展,我们不能完全确保我们的风险敞口。此外,并不是所有的灾难都可以投保。由于我们无法为潜在的损失提供适当的保险,我们受到未投保损失的财务影响,这可能会对我们的财务状况和经营业绩产生不利影响。我们的业务性质使我们面临健康、安全和环境风险风险类别:在我们的产品和系统的生产和运营中使用危险物质,这使得我们必须遵守与环境保护以及员工和产品健康与安全相关的各种政府法规,包括运输、使用、储存、排放、搬运、排放、产生和处置有毒或其他危险物质。此外,操作我们的系统(使用激光和其他潜在危险系统)可能会很危险,并可能导致受伤。如果不遵守当前或未来的法规,可能会对我们处以巨额罚款、暂停生产、更改我们的制造、组装和测试流程、损害我们的声誉和/或限制我们的运营或销售或其他不利后果。此外,我们的产品也变得越来越复杂。日益增长的复杂性要求我们投资于持续的风险评估和开发适当的


ASML 2021年年度报告122为我们的员工(与我们的系统的生产和安装以及我们的服务的现场选择和性能相关的)和我们的客户的员工(与我们的系统的操作相关的)的健康和安全的预防和保护措施。我们的健康和安全实践可能并不能有效地降低所有健康和安全风险。未能遵守适用的法规或我们为客户和员工的健康和安全实施的实践失败可能会使我们承担重大责任。网络安全和其他安全事件,或我们的流程或信息技术系统的其他中断,可能对我们的业务运营风险类别产生重大不利影响:信息安全、信息技术、流程有效性和效率、保护资产我们依赖我们的信息技术系统的准确性、可用性和安全性。尽管我们已经实施了措施,包括与网络安全有关的措施,但我们的系统可能会受到计算机病毒和系统攻击、自然或人为事件、灾难或未经授权的物理或电子访问的破坏或破坏。我们的信息技术系统以及我们的供应商、客户和其他服务提供商的信息技术系统受到越来越多的网络攻击,而我们无法控制他们的系统。这些攻击包括恶意软件(恶意软件)、试图未经授权访问数据,以及对我们的信息技术系统的其他电子安全漏洞。它们还包括我们的供应商、客户和其他服务提供商的信息技术系统,这些系统已经并可能导致我们的客户、供应商或其他业务合作伙伴(包括研发合作伙伴)的关键系统中断、未经授权发布、挪用、损坏或丢失数据或机密信息(包括与我们的客户、员工和供应商相关的机密信息)。此外,我们依赖我们的员工和我们供应商的员工适当地处理机密和敏感数据,并以安全可靠的方式部署我们的IT资源,不会使我们的网络系统面临安全漏洞或数据丢失。然而,我们或我们供应商的员工或供应商的员工的疏忽披露或行为或内部不当行为可能会导致数据丢失或我们的IT系统被破坏或中断,这始终存在风险。此外,任何系统故障、事故或安全漏洞都可能导致业务中断、我们的知识产权、商业机密(包括我们的专有技术)、未经授权访问或披露客户、人员、供应商或其他机密信息、我们的数据或系统的损坏、声誉损害或诉讼。此外,计算机病毒或其他恶意软件可能会损害我们的系统和软件,并可能在不经意间传播到我们客户的系统和运营中,这可能会导致客户流失、诉讼、政府调查和诉讼,从而使我们承担民事或刑事责任,并引起管理层的大量关注和资源,以补救由此造成的损害。我们还可能被要求在未来针对这些中断或安全漏洞造成的损害进行保护或修复,包括例如重建内部系统、实施额外的威胁防护措施、对我们的产品和服务进行修改、抵御诉讼、回应监管查询或行动、支付损害赔偿或对第三方采取其他补救措施。此外,补救工作可能不会成功,并可能导致中断、延迟或停止服务,不利的宣传,损害我们的声誉,客户对我们违约的指控,可能的诉讼,以及现有或潜在客户的流失,这可能会阻碍我们的销售或其他关键功能。网络安全威胁在不断演变。我们仍然可能容易受到其他已知或未知威胁的影响,因为在某些情况下,我们、我们的客户和我们的供应商可能不知道事件或其规模和影响。我们还面临这样的风险,即我们通过向客户提供的系统使客户面临网络安全攻击,包括以恶意软件或上述其他类型的攻击的形式,这可能会损害我们的客户。此外,新冠肺炎疫情增加了我们组织内部的远程工作水平,这增加了网络安全事件的风险。ASML对半导体行业的知名度和重要性持续增长。这可能会导致可能对ASML的安全或其员工的安全产生不利影响的行动。此外,流程和系统可能无法充分支持增长。我们不时对我们的信息技术系统和软件进行更新,这可能会扰乱或关闭我们的信息技术系统。我们可能无法在不中断运营的情况下按计划成功推出和集成这些新系统。例如,我们目前正在实施新的企业资源规划系统和基础设施(一个方案)。由于这个系统的实施或其他原因,我们已经并可能继续在我们的运营中遇到中断。2021年,我们经历了新物流中心投产后的运营延误,导致一些产品的生产延迟。


ASML年度报告2021年123法律和合规我们面临着越来越复杂的监管和合规义务风险类别:违反法律和法规近年来,我们的业务在销售、运营、员工和业务基础设施方面都有了显著增长。因此,遵纪守法的复杂性增加了。此外,随着我们在以前没有开展业务的国家扩大业务,我们越来越多地遵守这些司法管辖区的额外规则和法规,包括但不限于反腐败、反贿赂和反垄断标准,这些标准可能很复杂。我们还接受这些司法管辖区当局关于遵守规则和法规(包括税法)的调查、审计和审查。此外,我们必须遵守的现有规则和法规,包括与贸易、国家安全、税收、外汇管制、报告、产品合规、反腐败法、反垄断、数据保护等相关但不限于的法规,正变得越来越复杂,贸易和国家安全环境导致限制越来越多。我们还面临着贸易和安全法规可能会限制我们在某些司法管辖区销售我们的产品和服务的风险。我们在发货许可方面遇到了延误,可能会遇到向某些客户发货的限制。适用于我们业务的法规的这种变化可能会增加合规成本和不合规的风险。不遵守规定可能会导致罚款和处罚,以及声誉损害。此外,其他法规可能会影响或限制我们在某些司法管辖区销售我们的产品和服务的能力。税收的变化可能会影响我们未来的盈利能力风险类别:违反法律和法规我们在荷兰和我们活跃的其他国家需要缴纳所得税。我们的有效税率过去一直在波动,未来可能也会波动。我们商业环境的变化会影响我们的实际税率。这同样适用于我们开展业务的国家税收立法的变化、经济合作与发展组织等全球组织推动的发展,以及对逐个税务当局采取的方法的变化。所有这些举措已经并可能进一步增加反兴奋剂机构的遵约义务。此外,这可能会导致我们的实际税率在未来几年增加。我们所在司法管辖区税收法规的变化可能会对我们的税收状况产生不利影响,从而影响我们的净收入。我们的全球有效税率受到我们所在国家/地区税收法律法规中包含的研发激励措施的严重影响。在这方面,荷兰的所谓创新盒子税法和我们在美国获得的外国衍生无形收入扣除/研发抵免就是一个例子。如果司法管辖区在这方面改变他们的税收政策,这可能会对我们的全球有效税率产生不利影响。此外,司法管辖区按不同的税率征收企业所得税。我们在不同司法管辖区的销售组合每年可能会有所不同,导致适用于我们利润的公司所得税税率组合不同,这可能会影响我们在全球的有效税率,并对我们的净收入产生不利影响。新冠肺炎或其他流行病可能会影响我们的运营的其他风险因素新冠肺炎大流行以及为应对这一全球大流行而实施的措施继续影响我们的业务以及我们的供应商和客户。这场大流行已经对全球经济产生了重大影响,这可能会影响我们的终端市场。新冠肺炎疫情增加了我们组织内部的远程工作水平,这会影响生产率,可能会推迟我们的路线图,增加网络安全事件的风险,并可能影响我们的控制环境。此外,我们依赖我们的供应商,因此新冠肺炎疫情导致他们的运营中断影响了我们以及我们生产、交付和服务工具的能力。市场对半导体的需求以及我们的产品和服务也会受到新冠肺炎大流行的影响,并采取措施应对它。此外,我们业务的一个重要部分涉及在全球客户场所安装和维修工具,而旅行限制和疫苗接种要求会影响这一活动。新冠肺炎疫情将如何影响全球国内生产总值发展、终端市场、我们的制造能力和供应链存在不确定性,而且疫情持续的时间越长,风险就越大。这场大流行对非典型肺炎的持续影响将取决于未来的事态发展,包括新冠肺炎大流行的持续严重性,以及荷兰和其他外国政府为控制疫情或应对其影响而采取的非我们所能控制的行动。对股东权利的限制可能会稀释投票权我们的公司章程规定,我们受制于适用于大公司的荷兰法律,称为结构制度。这些规定的效果是将某些公司决策和交易的控制权集中在我们的


ASML年度报告2021年124监事会。因此,普通股持有者在面对监事会成员的行动时,可能会比我们在美国或其他司法管辖区注册成立公司时更难保护自己的利益。我们的法定股本还包括一类累积优先股,我们已授予荷兰基金会Stichting Pferente Aandelen ASML以每股0.09欧元的面值收购此类累积优先股的选择权。行使优先股选择权将有效稀释我们已发行普通股的投票权的一半,这可能会阻碍或显著阻碍第三方收购我们的多数有表决权股份。更多内容请阅读:我们2021年的业绩-治理-公司治理-管理委员会和监事会,以及合并财务报表-合并财务报表附注-附注22股东权益。在任何一年,我们可能不会宣布现金股息和实施股票回购计划,也不会以任何特定的金额进行股票回购。我们的目标是每半年支付一次(按年率计算)不断增长的股息,我们还会不时地进行股票回购计划。任何一年的股息建议和股票回购金额将受到可分配利润、留存收益和现金的可用性的影响,并可能受到管理委员会对我们未来潜在流动性需求的看法的影响,包括对产能和营运资本需求的投资、我们研发计划的资金以及可能不时出现的收购机会,以及适用所得税和公司法的未来变化。我们还可能不时暂停回购计划,这将减少我们能够返还给股东的现金金额。因此,管理委员会可能决定建议不支付股息或支付较低的股息,并可能暂停、调整或停止股票回购计划,否则我们可能无法完成回购计划。


ASML年度报告2021年125负责任的商业我们是半导体行业的全球领导者。作为为芯片行业制造重要系统的创新者,我们有责任以身作则。我们的目标很明确,“通过将技术推向新的极限来释放人和社会的潜力”,我们希望我们的价值观反映在我们为追求目标所做的一切事情中。除了我们战略中的物质重点领域外,我们还需要确保以负责任的方式开展业务。无论我们在哪里运营,我们都相信以诚实的态度开展业务,以最高的诚信标准行事,对于我们为利益相关者群体创造价值,以及我们公司的长期成功至关重要。我们制定了公司政策和程序,详细说明了我们的原则和合规,指导我们做出正确的决定,并践行我们的价值观。在接下来的部分中,可以找到更多关于我们的商业道德和行为准则、合规、我们尊重人权的责任、信息保护和税收等主题的信息。商业道德和行为准则我们致力于在我们开展业务的所有国家/地区,遵守适用的法律和法规开展业务。我们提倡和维护道德行为,培养一种鼓励和欣赏发声的文化。我们寻求不断改进和专业化我们的道德和合规组织,以达到最高标准。2021年,我们继续扩大我们的道德联系网络,根据欧盟举报人指令的新要求更新了我们的直言不讳和不报复政策,并推出了我们更新的礼物和娱乐政策以及我们的内部竞争法合规政策。我们继续我们的培训计划,并专注于提高整个组织的意识。我们的下一次全球道德调查将于2022年进行。我们的价值观--挑战、协作和关怀--指导着我们与同事、客户、供应商、股东和我们所服务的社区的日常交往。这些价值观反映在我们的行为准则(以下简称:准则)中。它为我们经营业务的方式设定了明确的期望和指导原则,并有助于培养诚信、道德和尊重的文化。连同一套实用的指导方针,它将诚信置于我们所做工作的中心。ASML在很大程度上依赖于员工的技能、承诺和行为,才能继续取得成功,并对社会做出积极贡献。这就是为什么我们希望所有员工完全践行公司的价值观,并在任何时候都以正直和尊重的态度行事。我们要求我们的所有员工和业务伙伴遵守我们的守则。十年来,我们一直是负责任商业联盟(RBA)的成员,该联盟是世界上最大的行业联盟,致力于全球电子行业的企业社会责任。作为澳大利亚央行的成员,我们通过了《澳大利亚央行行为准则》,这是一套共同的社会、环境和道德行业标准。我们的准则符合《澳大利亚央行行为准则》。为了加强我们对供应商网络的承诺,我们希望我们的主要供应商(约占我们总支出的80%)及其供应商遵守澳大利亚央行行为准则,并制定自己的战略、政策和流程以遵循该准则。这一要求包括在我们与产品相关的长期供应商的合同中。更多内容请阅读:我们在2021年的表现-社会-我们的供应链。我们的道德治理由几个层次组成,包括:1.我们的道德委员会由我们的首席执行官担任主席,向审计委员会和管理委员会报告。道德操守委员会负责制定政策和监督反兴奋剂机构遵守法律和道德要求的情况。道德操守委员会定期开会,就相关问题提供指导。2.我们的道德委员会调查有关ASML在全球范围内可能违反行为准则的重大通知。3.我们的道德办公室负责监督和实施我们的道德计划。所有可能违反ASML《行为守则》的报告都由一名道德操守干事进行筛选,所有重要报告都会与道德操守委员会进行讨论。4.我们的道德组织包括员工,他们除了在ASML担任正式职务外,还在我们开展业务的所有国家/地区担任道德联络员。他们是值得信赖的代表,是员工在道德方面有疑问和顾虑的第一个当地联络点。


ASML年度报告2021年126我们的行为准则原则我们尊重人我们尊重人ASML致力于维护一个安全健康的工作环境,尊重符合国际法律法规和行业标准的人权,如《澳大利亚央行行为准则》。文化、教育和人才的多样性使我们成为一家更强大、更具创造力和创新能力的公司。通过共同努力,并使用这些价值观来指导我们,我们创造了一个基于相互尊重的环境--一个比我们任何人单独取得的都要好的环境。我们秉承诚信经营诚信和合规的强大文化支撑着ASML的业务成功。我们对“诚信”的定义是以诚实、真诚、细心和可靠的方式行事。合规不仅意味着遵守法律法规,还意味着遵守我们的高尚道德标准。我们诚信的声誉是一笔宝贵的财富。对我们来说,在任何时候都要表现出个人和商业诚信,这是至关重要的。我们致力于安全和社会责任,技术普及到社会的各个角落。通过帮助芯片变得更实惠和更强大,ASML可以发挥重要作用-不仅是声誉和结果,而且还与环境有关。这就是为什么ASML致力于负责任地开展业务,在履行法律和道德义务的同时实现可持续增长。我们的目标是以关怀和负责任的方式实现关键原则中概述的业务目标。我们保护我们的资产ASML最有价值的资产是它的人员和知识,这两者都是高度重视和受到保护的。我们的‘资产’包括知识产权(IP),它是指技术诀窍、产品数据、商业数据和个人数据等无形资产,以及开展ASML业务的产品、工具、资金和计算机等有形资产。我们公司希望任何受托管理ASML资产的人都要确保这些资产的安全,使其不会丢失、损坏、滥用或被盗。我们鼓励您进行沟通并畅所欲言,以履行我们对维护本守则中所述的高标准诚信的承诺,沟通是关键。我们努力创造一个鼓励员工之间以及员工和第三方之间进行公开对话的工作环境,在这种环境中,员工感到舒适和受到尊重,他们可以相互信任,做正确的事情。如果您观察到或怀疑有违规行为,我们鼓励您直言不讳。我们的代码可在我们的网站(www.asml.com)、我们的内联网和我们的员工应用程序中向所有利益相关者提供。促进道德行为我们提供专门的道德和合规计划,该计划提供必要的支持、建议、培训和沟通,使员工和其他人能够理解和遵守我们的准则。它通过各种沟通渠道提高认识,培养高度诚信的文化,从而做到这一点。它还有助于创造一种开放和诚实的文化,促进整个组织遵守法律和ASML政策。2021年,我们继续扩展我们的道德培训课程,引入了两个新的模块-‘我们尊重人’和‘礼物和娱乐’-以及推出更新的政策。我们的目标是在来年为所有员工准备好所有六个模块。除了向所有员工提供的通用模块外,课程还将包括经理特定模块-将于2022年前完成。该课程旨在支持管理层的决策,宣传我们的准则和其他与合规相关的主题,并提高人们对道德行为和我们的直言不讳和不报复政策的重要性的认识。它还提供了关于处理诸如工作中的个人关系、利益冲突、处理文化差异以及围绕辅助活动或ASML以外的其他职位的伦理方面的主题的信息和指导。在我们的培训计划中,我们特别关注所有新员工;在ASML开始的前三个月内,他们会收到完成课程第一模块的邀请。2021年,我们改变了方式,从专门举办道德意识周转变为全年参与一系列各种互动话题讨论。我们举办了两次“我们的价值观在行动”会议,在会上,我们公司多个职能部门的领导人解释了ASML的价值观--挑战、协作和关怀--如何与他们正在做的工作联系起来,公司周围的员工分享了他们是如何实际体验到这些价值观的。鼓励人们直言不讳从上一次全球道德调查中获得的一个关键见解是,员工有时可能会因为担心这样做的后果而不愿报告有害、歧视或不道德的行为。因此,我们在2021年更新了于2021年10月底启动的直言不讳和不报复政策,并实施了修正案,以满足欧盟举报人指令的要求。在这一进程中,我们的重点是将不报复的概念纳入政策的核心。我们坚信,员工应该放心地向公司表达他们的担忧,而不会因为害怕报复而感到担忧。这些政策和程序让员工放心,他们可以报告违规行为,而不必担心后果。ASML对报复行为零容忍。该政策包括我们的道德调查程序,其中概述了道德投诉的调查阶段,从接收到补救行动和最终结案。


ASML年度报告2021年127反贿赂和反腐败ASML不容忍贿赂或腐败或对他人或我们自己的任何形式的不正当影响。我们致力于个人和商业诚信的最高标准。我们的反贿赂和反腐败政策于2020年更新,详细说明了我们对坚定的道德和诚信的承诺,以及我们为防止ASML的贿赂和腐败而采取的措施。它还要求遵守适用的反贿赂和反腐败法律以及《反兴奋剂机构行为守则》。欲了解更多信息或下载政策,请访问www.www。Asml.com。2021年4月,我们推出了修订后的礼物和娱乐政策,详细说明了所有ASML员工在赠送和接受礼物或娱乐(包括商务用餐)方面的预期行为,并支持我们以专业、道德和透明的方式开展业务的承诺。这项政策也是我们合规、反贿赂和反腐败计划的关键要素。我们要求我们的员工始终遵守这一政策,使用常识,并在必要时寻求本政策中概述的指导或支持。该政策的一个重要新内容是,要求事先批准某些类别的第三方礼物或娱乐活动。这使我们能够在这些类别中记录赠送和接受的礼物和娱乐,这支持我们遵守政策以及法律和法规。赠送和接受礼物和娱乐永远不应影响或似乎影响我们商业决策和交易的诚信,或有关各方的忠诚度。ASML不允许员工接受或提供便利费,也不允许代表公司进行政治捐款。2021年,我们修订和更新了关于反贿赂和反腐败主题的培训课程,主要是作为更新的道德培训课程的一部分,并通过向特定利益攸关方群体提供额外的课堂培训。我们正在进一步加强我们的全球第三方尽职调查计划。在2021年的报告年度,在贿赂和腐败领域没有对ASML的监管罚款或行动。有关直言不讳、不报复、我们的道德调查程序、匿名和隐私的更多信息,请参见www.asml.com上公开提供的我们的直言不讳和不报复政策。我们鼓励每个人,包括外部业务合作伙伴,如供应商、承包商和其他工人,表达他们对可能违反我们的准则、我们的公司政策、法律和我们的价值观的任何担忧。我们提倡一种开放的信任和诚实沟通的文化,在这种文化中,违反守则的行为是不被容忍的。我们在发言服务中有几个不同的渠道来报告此类担忧,包括在线报告工具(由独立的外部服务公司托管)、我们开展业务的每个国家/地区的电话号码、专用电子邮件地址以及通过我们的道德联络人。对于喜欢匿名的员工或外部利益相关者,可以使用直言不讳服务匿名报告违规行为。道德操守办公室的作用是评估每一份直言不讳的报告,并采取适当行动处理报告,以便适当的机构能够采取任何适当的补救行动。我们审查和评估所有发言信息,并在可能的情况下通过向报告方提供反馈来跟踪所有这些信息。如有必要,我们将与报告方和/或对方接触,以了解发言信息的性质,并进行更详细的分析和/或调查。当需要时,我们会实施补救措施,以防止复发。2021年登记道德相关报告396件(2020年登记229件)。我们将这一增长视为我们的员工和外部业务合作伙伴感到放心和受到保护的迹象,可以报告他们的担忧。我们将这一结果归因于实施的改进措施,但我们也注意到与新冠肺炎有关的大量报告,如旅行限制、疫苗接种、检疫和具体国家的措施。绝大多数报告涉及的是问题,而不是对潜在不当行为的关切。另一个增加的领域涉及利益冲突问题。在这些直言不讳的报告中,有10起投诉。这些都遵循正式的调查程序。截至本年度报告发布时,已完成5起投诉的调查程序。在这一总数中,有四起投诉被认为不成立--没有违反《守则》--对一起投诉采取了纪律措施--终止雇用。其余五宗投诉仍在正式调查过程中。2021年,我们没有因违反道德法规而受到任何罚款。法律合规我们的法律合规职能监督对各种与监管合规相关的领域的遵守情况,并就监管框架向管理层提供建议,包括法律和法规的变化,努力确保我们在开展业务时遵守所有相关的国家和国际法律和法规,以及专业标准、公认的商业惯例和我们自己的内部标准。这些监管合规领域的例子包括我们的证券和内幕交易、竞争法(反垄断)以及反贿赂和反腐败。当需要时,我们的法律部门负责任何监管调查。


ASML年度报告2021年128竞争法遵守政策ASML认为遵守竞争法是其业务的重要组成部分。竞争法(又称“反垄断法”)保护有效竞争,以确保市场的最佳运作。竞争法影响到ASML日常业务的许多领域。它影响我们与客户、供应商、联合开发人员和其他业务伙伴的交易和互动。在与我们的业务伙伴打交道时,我们致力于公平竞争和公平的原则,包括供应商、合作开发商、客户和其他行业同行。因此,ASML不容忍根据适用的竞争法被视为非法或违反我们的行为准则的任何形式的行为,我们也不会与采取反竞争行为或建议进行非法行为的业务合作伙伴进行业务或合作。为此,反垄断法制定了一般性和具体的控制措施,以防止、发现和披露潜在的竞争法问题,包括:竞争法合规风险评估:反垄断法定期对相关竞争法重点领域进行风险评估。这项评估确定并考虑了从竞争法的角度可能存在的风险、已经实施了哪些控制措施、剩余的风险是什么以及将采取哪些措施来减轻任何剩余的风险。政策审查:我们的竞争法合规政策表明了我们对确保遵守适用的竞争法和我们的行为准则的持续承诺。员工或业务合作伙伴违反本政策的任何行为将被视为严重违反ASML的行为准则。因此,这可能导致适当的纪律措施,包括解雇。ASML定期审查本政策。我们于2020年发布了《政策》的公开版本,并于2021年发布了《内部政策》的更新版。培训和认识:ASML的竞争法培训方案包括不同方法的组合;基于计算机的培训课程和面对面培训课程。通过定期通信,例如通过在反垄断法协会的内联网上发表演讲和文章,或通过电子邮件通信,也促进了对与竞争法有关的专题和问题的认识。与业务伙伴的联系:ASML期望其业务伙伴(如客户、供应商、顾问、承包商、中间商等)以展示与我们自己一致的高标准的道德行为。ASML不会与诉诸反竞争行为或建议进行非法行为的商业伙伴进行业务或合作。ASML坚决谴责其商业伙伴的任何反竞争行为。举报和解决问题、违规或投诉:ASML将支持拒绝参与反竞争行为或举报潜在违反我们政策的员工和业务合作伙伴,这在我们的直言不讳和不报复政策中有明确规定。ASML不容忍对严格遵守竞争法规则的员工或那些直言不讳的员工进行任何形式的报复或其他形式的不良后果,即使ASML因此失去业务。欲了解更多信息或下载ASML的公共竞争法合规政策,请访问www.asml.com。隐私保护我们致力于尊重和保护员工、客户、供应商和与我们有业务往来的每个人的隐私权。个人资料以专业、合法及合乎道德的方式管理,符合我们的行为守则,并符合适用的法律及法规。我们已采取技术和组织措施,防止意外或非法销毁、丢失、更改、未经授权披露或访问个人数据。我们的隐私政策从ASML作为一个全球组织的角度设定了最低要求。该政策对所有ASML员工具有约束力,并适用于处理我们员工、求职者和业务合作伙伴(如客户、供应商、访客和其他个人)的个人数据。专门的隐私和个人数据保护计划确保我们遵守高标准的个人数据保护标准。我们的隐私计划包括以下内容:·治理:在高级管理层,公司风险委员会负责监督隐私主题,而隐私办公室管理隐私框架,并提供帮助和指导。每位员工都有责任阅读和理解隐私政策的内容和含义。·制度和程序:隐私控制框架包括130项隐私活动,包括隐私影响评估和数据保护影响评估。隐私控制框架包括在我们的企业资源管理过程中。·纪律处分:我们调查在我们的隐私门户网站上登记的所有事件、关注事项和登记的潜在违规报告,这些事件、担忧和注册报告在我们的个人数据泄露程序中概述。我们采取了适当的控制措施和纪律处分,以防止再次发生。


ASML年度报告2021年129·审计:隐私包括在我们的内部审计计划中。我们针对业务合作伙伴和招聘人员的隐私通知均源自我们的隐私政策。他们解释了为什么收集个人数据以及ASML如何使用这些数据。2021年,我们更新了面向员工、求职者、商业伙伴和访客的全球隐私通知。新的隐私通知反映了ASML对个人数据的最新处理,并符合适用的隐私法律和法规的要求,例如GDPR(欧盟)和CCPA(美国)。2021年,我们没有收到任何关于侵犯人权的申诉。在我们2019年的行动中,我们进行了一次风险评估,以确定我们自身行动中与人权有关的内在风险。我们的分析结果表明,ASML自身运作中的人权脆弱性的内在风险是工作时间和加班、健康和安全以及工作场所骚扰。ASML范围内确定的易受伤害的权利持有者群体是承包商、少数民族和移徙工人。这项评估计划于2022年进行更新。此外,我们还定期进行EHS内部审核。更多内容请阅读:确保员工安全。工作时间和加班在我们运营的地点,每周标准工作时间平均为40小时。我们公司的标准是基于国际劳工组织的国际劳工标准(每周工作40小时公约)和澳大利亚央行的标准。每周工作时间不得超过当地法律规定的最长工作时间,每周工作时间不得超过60小时,包括加班时间,除非在紧急或特殊情况下。我们经常注意保护我们的员工在高峰期不加班。由于加班仍然是管理层的一个重要关注点,我们一直在监测加班的使用情况,并采取适当的措施来管理情况。健康和安全我们有义务为我们所有的员工和在我们办公场所工作的其他人提供安全和健康的工作条件。在我们的产品和流程中,我们考虑如何使ASML成为一个安全的工作场所。我们在创建意识和在ASML中建立积极的安全文化方面投入了大量的努力。更多内容请阅读:确保员工安全。工作场所骚扰我们是一家全球性公司,在16个国家和地区的60多个地点开展业务。我们拥有文化多元化的劳动力队伍,雇佣了122个国家的员工。这导致围绕人权中的工作场所骚扰问题的固有风险更高。更多内容请阅读:商业道德和行为准则。通过我们的道德计划,我们提高了人们对道德行为重要性的认识,以及我们的直言不讳和不报复政策。它还提供了关于处理诸如工作中的个人关系、利益冲突、处理文化差异以及围绕辅助活动或ASML以外的其他职位的伦理方面的主题的信息和指导。我们的供应链我们通过基于风险的方法评估供应链中与人权有关的风险。在我们的尽职调查过程中,我们使用澳大利亚央行风险评估平台来识别劳工方面的内在风险(包括人权),尊重人权。我们在公平、诚信和诚信的基础上开展业务,我们希望所有的合作伙伴都能做到这一点。为此,我们还认为,我们有责任尊重人权,促进产生积极影响。我们致力于尊重普遍人权,尊重《行为守则》所表达的道德价值。我们支持《经合组织多国企业指导方针》、《联合国商业和人权指导原则》以及国际劳工组织《多国企业和社会政策三方原则宣言》中确定的原则。我们制定了一项人权政策,该政策可在www.asml.com上公开查阅。我们的人权政策是对我们的ASML行为准则和我们遵守的澳大利亚央行行为准则的补充。它表达了我们在我们的运营和供应链中对人权和负责任的劳动实践的承诺。人权政策适用于ASML及其在世界任何地方的子公司。在我们的直接业务中确定和管理人权问题的总体责任属于我们的执行副总裁总裁人力资源部的职责范围。我们供应链中的人权责任属于我们采购和供应链执行副总裁总裁的职责范围。定义突出的人权问题突出的人权问题是那些有可能通过公司的活动或商业关系受到最严重负面影响的人权问题。我们评估了整个价值链对人们人权可能产生的影响。我们一方面集中精力寻求利益相关者的意见,另一方面对我们最初的突出问题进行尽职调查。我们在《行为准则》、《人权政策》和《澳大利亚央行供应商行为准则》中强调了我们解决和积极参与突出人权问题的承诺。我们通过各种方式确定和管理人权问题,例如利益攸关方参与、我们业务中的内部人权评估以及供应商的尽职调查和可持续性风险管理。更多内容请阅读:我们的供应链。


ASML 2021年年度报告130我们全供应基地的道德、健康、安全和环境标准。如果发现与劳动力相关的中等或高度风险,我们将与供应商接触并进行更详细的分析。对于占我们产品相关支出约80%的战略供应商,我们预计他们将完成每年的澳大利亚央行SAQ。这份SAQ涵盖了400多个与劳工(包括人权)、道德、环境和安全因素、控制因素和管理体系有关的风险因素,包括他们的表现。它帮助我们确定供应商在可持续性方面的风险概况。当我们确定合规差距时,我们与供应商接洽以确定纠正行动计划(S)。我们定义的突出问题涉及工作条件(强迫劳动和抵押劳动)、健康和安全以及工会权利。然而,在高科技行业运营,我们的大多数供应商都在法治很强的国家运营,都是守法的。我们认为这种固有风险很低。更多内容请阅读:我们的供应链。与其他公司一样,ASML也越来越容易受到网络攻击。这些攻击可能会对我们的业务、声誉、收入、运营或财务健康产生不利影响,特别是当它们违反数据保护规则并危及我们客户或合作伙伴的机密信息时。随着ASML在半导体行业的独特地位和日益增长的风险敞口,我们看到了越来越多的安全风险趋势,从勒索软件和网络钓鱼攻击到内部威胁和渗透企图,以获取我们领先的知识产权(IP)或中断业务连续性。2021年,ASML遇到了大约20,000起安全事件,其中大部分来自网络钓鱼攻击,影响较小。根据Verizon进行的外部研究报告《2021年数据泄露调查报告(DBIR)》,数据泄露中的钓鱼攻击发生率从2020年的25%上升到2021年的36%。随着过去几年网络攻击的增加,我们也加强了我们的资源和能力,从大约10年前的10 FTE增加到2021年致力于安全事务的约250 FTE。安全--就像安全和质量--是信任ASML品牌的先决条件。我们的客户和合作伙伴必须能够依赖我们产品和服务的安全性、安全性和质量。ASML的存在是以人和知识为基础的。我们的特定知识和知识产权使我们比我们的竞争对手具有领先优势,因此保护它们至关重要。随着ASML与其生态系统合作伙伴共同创新,这些合作伙伴需要访问我们的系统。由于这条链是最薄弱的一环,我们需要确保我们的合作伙伴以安全的方式访问我们的系统。ASML的安全信任圈旨在认证和协助我们的生态系统合作伙伴提高他们的信息安全成熟度。我们的安全治理由三个层次组成:1.我们的企业风险委员会(CRC)是一个中央风险监督机构,负责审查、管理和控制ASML风险领域的风险,包括信息安全。它还批准了风险偏好、风险管理政策和风险缓解战略。儿童权利委员会定期向审计委员会和管理委员会报告,由首席财务官(CFO)担任主席,并由ASML所有部门的高级管理人员组成。2.我们的安全委员会是儿童权利委员会的一个小组委员会,负责验证与信息安全有关的风险偏好以及有效的政策和路线图。它密切监控整个公司安全风险的缓解。3.中央安全部门,由首席信息安全干事(信息安全干事)领导,作为信息安全风险的所有者,各部门的安全风险管理小组提供协助。CISO处于第二道防线,有权通过构建控制的安全路线图来推动政策,并监督作为第一道防线的部门控制的有效执行。信息安全复原力框架我们对安全的愿景是,它需要嵌入我们的人员、流程和技术的DNA中。为了确保这一点,我们创建了专门的安全职能,以预防和管理安全风险。我们的使命是通过对支持我们业务目标的人员、流程和技术应用基于风险的高效措施,使ASML能够控制对公司、其客户和供应商的信息和资产的保护。为了实现这一愿景和使命,我们追求并部署我们的安全战略,以实现最高水平的成熟。我们通过在其14个域中应用ISO27001信息安全标准并推动安全成熟度(从策略设置、资产管理和访问控制到事件管理等)来开发我们的信息安全框架。对于这些领域中的每个领域,我们都有量身定做的控制措施,并定期进行评估,以确保合规性和有效性。此外,我们还配备了事件报告工具,以确保可以报告、关联和调查所有IT和信息安全问题。


ASML年度报告2021年131人和知识是ASML业务成功的关键。未经授权披露ASML的信息,或其创新生态系统中客户或供应商的信息,可能会使竞争对手受益,对ASML申请专利的能力产生负面影响,或对与客户和供应商的合作产生负面影响。同时,ASML的运营依赖于可靠的信息处理,未经授权更改这些资产的信息内容可能会损害执行业务的能力。因此,确保信息的机密性和完整性至关重要。为了确保我们的员工了解安全政策并知道如何采取行动,我们提供强制性的安全意识培训,并主办一年一度的安全意识周,在此期间,我们提供更多信息并分享经验。在我们的供应链网络中,我们使用单一模型对我们的合作伙伴进行风险评估,他们也使用该模型来筛选其供应商。我们还与同行、合作伙伴和一流的安全解决方案提供商保持密切联系,并通过渗透测试(道德黑客)定期测试我们的安全解决方案,以确定可利用的问题,以便实施有效的安全控制。在ASML创建安全信任圈,我们与公司内外的合作伙伴密切合作,在基于信任的创新生态系统中开发我们的技术。在互联生态系统中进行创新和协作需要超越企业边界的安全信息共享,因为网络攻击的脆弱性已扩展到整个生态系统的边缘。因此,2021年,ASML启动了安全信任圈倡议,以保护我们在荷兰布雷因波特埃因霍温地区的创新生态系统。“信任圈”是一个供应商网络,他们共同采用相同的信息安全标准,并根据这些标准提高自己的业绩。该网络还推动了ASML、供应商和生态系统合作伙伴之间的知识和最佳做法的交流。我们分享有关网络事件的最佳实践和信息,以帮助我们的创新合作伙伴发展和加强安全成熟度。目标是保护知识产权,保护行业和地区免受勒索软件等网络犯罪的影响,共享相关威胁情报,就安全主题进行合作,并共同变得更加安全。2021年,我们与排名前10位的主要供应商和50多家邻近公司举办了大师班,以提高该地区的信息安全意识和知识,并分享实用的提示、技巧和策略,例如打击勒索软件。作为ASML在尖端技术领域的领先地位和研发人员,公司知识产权部门参与了产品的开发过程,旨在确保ASML的产品不会面临侵犯第三方知识产权的风险。该部门对新产品进行评估,以确定它们是否可能侵犯第三方的任何相关权利。我们在复杂的研究和开发方面的重大投资证明了强大的知识产权组合是合理的。我们建立了知识产权管理机制,维护我们的知识产权,尊重其他各方的知识产权。这其中包括专门的知识保护计划、对工程绝密的限制访问、信息安全计划、强制性信息保密以及培训和意识计划。阅读更多内容请参阅:负责任的企业-信息安全。早在2021年初,我们就注意到有报道称,与Xtal Inc.有关联的一家公司正在中国积极营销可能侵犯知识产权保护的产品,我们公司的基础是人和知识。我们的专业知识使我们比竞争对手具有领先优势和领先优势。为了继续经营,保护我们自己的知识以及我们的客户和业务合作伙伴委托给ASML的信息是关键。专利是保护ASML的研究和开发投资不被ASML的竞争对手使用的一种方式,也是保护ASML的客户、供应商和联合开发人员利用ASML的一种方式。我们与由许多不同的公司和机构组成的生态系统合作伙伴一起创新和开发我们的技术,每个公司和机构都需要一种专门的方式来处理知识产权(IP)问题。ASML的总体知识产权战略有三个目标:·通过保护ASML的发明,建立和维护坚实的知识产权组合。·防止反洗钱侵犯第三方知识产权的情况。·根据ASML的知识保护计划,防止向外部世界泄露包括专有技术和商业秘密在内的机密信息。我们的企业知识产权部门的任务是加强我们的全球专利组合,以及保护我们的专利。该部门的使命是最大化ASML的知识产权价值,执行和支持ASML的总体目标,并维护ASML的运营自由。为了保护我们的技术


ASML 2021年年度报告132关于ASML的知识产权。作为回应,我们联系了一些客户,敦促他们不要协助或教唆东方晶源电子(DFJY)进行任何此类潜在的侵权行为。此外,我们向中国当局表达了我们的关切。反洗钱组织正在密切监测局势,并准备在适当情况下采取法律行动。IP P或TFO lio研发投资IP投资组合趋势IP投资组合研发投资2017 2018 2019 2020 2021 10,000 12,500 15,000 17,500欧元亿欧元10亿欧元20亿欧元30亿欧元产品安全我们想要创新,但始终将安全放在首位。时刻提供安全的工作环境是我们的责任。我们专注于产品生命周期的每个阶段的安全:研发、生产、运输、安装、维护、升级和退役。我们还确保覆盖所有利益相关者群体,包括员工、客户、供应商、承包商和访客。我们如何管理产品安全安全产品从好的设计开始。第一步是通过产品设计消除风险,由于人的因素在产品的安全运行中发挥着重要作用,我们尽量防止它们成为风险因素。这方面的一个例子是,我们联锁激光活动,以限制员工接触危险激光的方式。这有助于防止工作场所活动演变为潜在的事故。我们注重硬件设计的安全性,其次是安全的程序-预防是关键。我们力求确保我们开发的所有产品和工具符合世界上最严格的产品安全法规,以及适用于我们开展业务的国家/地区的法律。在没有解决潜在危险的安全预防措施的情况下,我们会制定自己的安全预防措施。我们有明确的系统和流程来支持我们的产品安全方法。当我们开始设计我们的系统时,我们的安全工程师会进行初始安全风险评估(SRA)。它们考虑了我们已经确定的九个关键风险领域,并在风险专家认为设计可能构成人类安全风险时向他们发出警报。我们的产品设计师经过培训,能够在设计过程的早期阶段发现任何安全问题。在整个产品开发过程中对SRA进行评估。在产品生命周期的每个后续阶段,我们都会评估产品安全性。我们通过我们的事件报告系统跟踪任何报告的与产品相关的事件,包括供应链事件。每年,我们都会为管理层提供产品安全审查,报告过去一年的任何产品安全事件。与往年一样,2021年,我们可以自豪地说,没有发生由我们的设备造成的可记录的事件。在我们的内部测试实验室内,随着我们系统的技术复杂性增加,为防止现场故障而进行测试的需求也在增加。我们的测试实验室提供硬件测试能力,以尽早根除设计中的潜在风险和缺陷。在设计过程的早期测试可以防止客户晶圆厂出现部件故障,同时也有助于D&E从风险到结果实现更稳健的产品设计。多年来,我们开发了模块化测试平台,以缩短平均测试间隔时间(MTBT)并标准化测试实验室设备。例如,在模块化真空测试平台中,大约80%的真空相关部件风险可以被表征和测试,并且可以使用标准化的硬件和软件接口灵活地添加额外的测试环境,如气体、高压和温度。我们的Veldhoven工厂拥有24个实验室,总实验室面积约为1,500平方米。这些实验室为多达100个测试设置提供了高科技测试环境,范围从标准螺栓摩擦测试到定制的执行器测试。


ASML年度报告2021年133随着我们的发展,我们的产品复杂性和我们运营的地理位置的数量也在增加,因此评估哪些安全法规和法规适用于我们的产品和工具变得更加复杂。与此同时,确定我们需要遵循的规则和程序也更加复杂,以证明这一合规。我们的一些技术是如此创新和新,以至于人们并不总是立即清楚地知道哪种监管制度适用。2021年,我们成立了企业监管和合规办公室,负责确保我们的产品符合产品安全政策。监管委员会负责ASML产品安全合规的决策和消除不合规的战略,监测合规状态并推动风险缓解。在每月的会议上,监管委员会讨论不遵守规定的情况,并根据提交的缓解计划做出决定。这使我们能够进一步提高我们评估哪些法律和法规-包括限制危险物质(RoHS)和化学品注册、评估、授权和限制(REACH)-适用于我们运营的每个国家/地区,如何解释它们,以及我们的产品和工具是否符合。我们一如既往地为我们的机器提供安全文件,包括部件的安全测试结果和机器的功能-考虑到法规要求。2021年,我们调查了在我们的晶片平台上使用特氟龙涂层是否符合关于持久性有机污染物(POP)的国际法规。特氟龙是一种名为聚四氟乙烯(PTFE)的合成化学品的名称,被认为是一种持久性有机污染物。我们的分析结果显示,特氟龙的浓度为0.027 ppb(最坏情况),远远低于25 ppb的限值。确保安全合规,我们的D&E安全能力负责人随时准备提供有关特定安全隐患的工作方式和设计规则的全面知识。我们开发的产品和工具符合欧盟安全指令和半导体行业指南(SEMI S2),以确保在任何时候都考虑产品安全。这些指南包含在安全系统性能规范(安全SPS)中。我们还考虑了客户特定的安全指南。我们出货的每一种产品都符合半S2标准。2021年,我们出货的每一种产品都提供了一份确认SEMI S2合规性的报告。我们还拥有所有ASML产品和工具的CE符合性声明。提高供应链中的产品安全,确保产品安全不仅止于我们的设施。我们的大部分创新和开发都是在我们的供应商现场进行的。安全是ASML的关键优先事项,我们希望确保我们运输的所有产品都符合最严格的法律,包括我们的客户和价值链合作伙伴所做的设计。这就是为什么我们开始了‘供应链中的产品安全项目’。我们的目标是确保我们的同事和合作伙伴有能力提供安全合规的产品,这样我们就可以避免安全事故或事件、与安全相关的不合规问题或延迟发货。为了实现这些目标,我们与供应商密切合作,制定了端到端流程,以确保我们通过供应商购买的产品和工具符合我们的安全要求。我们在供应商简介中增加了产品安全要求和能力,这是我们与供应商沟通和衡量绩效的方法。我们对供应商进行筛选,以评估他们如何满足特定的安全要求,首先是供应商自我评估调查,然后是根据需要进行现场审计,然后是差距关闭审查。我们希望我们的供应商也为我们提供与安全相关的数据和他们为我们制造的部件或工具的支持文档。这一过程使供应商能力评估成为减轻可能的安全风险的一种主动方法。危险货物我们在2020年成功完成了“危险货物”项目的第一阶段,其中包括任命了一名专门负责处理“危险货物”的专家,并采用了与危险货物运输有关的最佳做法。在常设组织有了基线后,我们在2021年集中精力进一步改进这一进程。该项目的第二阶段将集中在三个方面-在TeamCenter(我们的知识共享数据库)中引入相关的(危险特性)属性,在前端(材料数据库和危险物质管理)连接到具有危险特性知识的过程,以及在供应商部件设计过程中包括危险特性/危险货物信息。通过在早期阶段识别哪些材料是危险的,我们可以及时、高效地采取安全处理和运输的措施。RoHS和REACH我们致力于遵守欧盟关于处理危险材料和化学品的指导方针、所谓的RoHS指令和REACH法规,尽管我们生产的产品目前被排除在RoHS指令之外。只要有可能,我们


ASML年度报告2021年134旨在减少和消除任何有害物质的使用,并用符合RoHS的替代品替换不符合要求的部件。REACH法规不断变化,这是一个潜在的挑战。每年,危险物质清单上都会增加新的内容。由于ASML机器由数千个不是在ASML地点制造的部件组成,我们需要与供应商保持非常密切的沟通,以确定我们产品中的高度关注物质(SVHC)含量。然而,我们庞大的供应商组合和每六个月更新一次的SVHC名单意味着这一过程具有挑战性。目前,有75种物质和物质组需要评估,其中一些物质包含10种以上的单独物质。2021年,我们更新了REACH政策,并在我们所有地点的D&E业务和我们的全球供应链中进一步嵌入了REACH合规。同时,我们还将我们的程序与新的欧盟立法和欧盟的危险材料“SCIP”数据库保持一致。水管理半导体制造过程使用大量的水。由于气候变化,干旱变得更加极端和不可预测,这可能导致水在特定地点成为一种稀缺资源。虽然水是我们客户半导体制造过程中必不可少的资源,但我们自己的运营中的用水量是有限的。ASML的产品被设计成按照“闭环系统”(循环系统)来使用水。在我们的制造过程中使用水的目的是保持系统的凉爽,以防止在曝光过程中释放的热量。ASML的用水量只占半导体行业大多数公司用水量的一小部分。尽管如此,我们在整个公司提倡负责任地使用水。2021年我们的用水量从2020年的860,000立方米增加到1,041,000立方米,这一增长可以归因于Veldhoven制造设施的扩大,产品产量的增加,以及我们报告范围从之前的20个地点扩大到2021年的57个地点。我们用的水来自市政供水。2021年,我们在荷兰实施了雨水与其他类型废水的分离,我们正在探索重新利用这些水的方法。尽管供水中断可能会对我们的一些客户构成重大风险,但ASML与水相关的风险是有限的。我们有七个生产基地,其中四个主要工厂是荷兰的维尔德霍温、美国的圣地亚哥、美国的威尔顿和台湾的林口。更多阅读:我们的TCFD建议:与气候相关的披露,可在www.asml.com上获得。由于突破性的创新和技术领先,ASML的卓越运营在过去几年中实现了强劲增长。我们已经引进了几代尖端芯片制造系统,并在半导体设备制造行业建立了强大的市场地位。随着我们作为一家公司的成熟和在这一地位上的发展,我们正在努力不断改善客户体验,帮助客户降低拥有成本。客户同时考虑系统的成本和运行成本。因此,提高质量需要端到端的方法。我们需要审视整个链条,以确定真正的问题并找到解决方案。我们寻求将我们的创新能力与卓越的运营结合起来。我们的新企业计划我们业务运营的强劲增长和公司的发展促使我们审查我们的工作实践,并确定我们可以在哪些方面提高运营流程的效率,以改善客户体验和释放业务价值。我们投入了大量的精力来重塑我们的流程和IT格局。我们的新企业(ONE)计划的核心是改善我们的业务流程和IT企业管理系统。它建立在近年来为改进我们的IT系统而采取的步骤的基础上,这些IT系统是在20世纪90年代建立的,没有针对定制的客户解决方案进行优化。这是一项为期多年的计划,推广工作将分阶段进行。One计划解决了由具有大量定制应用程序的零散应用程序环境所产生的复杂流程。其目的是在引入标准化的同时确保灵活性。其中一个将通过简化流程,使ASML能够以更统一和更有效的方式运作,以确保建立一个面向未来和更可持续的系统。该计划采用跨部门、全公司范围和端到端的方法,使我们能够为我们的利益相关者提供更高的业务价值,我们将其定义为:·股东:提高我们产品和服务的竞争力·客户:提高我们产品和服务的性能和可靠的产品生命周期管理·供应商:通过分离的计划对部件、工具和时间提出稳定而明确的要求·员工:通过简化、标准化和跨行业的运营增强能力


ASML年度报告2021年135质量文化ASML致力于通过提供高质量、可持续的产品和服务来持续满足或超过客户的期望,从而提供高水平的客户满意度。质量和卓越的运营是我们技术领先的基本要素。这种领导力得到了全公司质量文化的加强,这种文化创造了一个卓越的环境。与我们的供应商和合作伙伴一起,我们确保我们的产品和服务具有高水平的性能。作为一个学习型组织,我们不断改进我们的产品和流程。我们的质量文化的目标是缩短成熟产量的时间,并通过几种方式确保我们产品和服务的端到端质量:·首次正确:对产品和执行应用风险管理流程,将对客户的影响降至最低。·零缺陷:嵌入控制以保证遵守我们的政策、流程和程序。·零重复:从失败中吸取教训,防止再次发生,推动我们的产品、服务和流程的结构性改进。我们成立了一个质量计划评审委员会,由我们的首席运营官(COO)担任主席,负责指导和监控质量。我们还致力于国际公认的质量管理体系和标准。我们的质量管理体系符合ISO 9001:2015标准,并通过第三方认证。这表明我们强大的质量治理,有效的质量管理体系,以及整个公司的质量合规性。2021年质量日:学习的力量全球参与人数达到创纪录的7,500多人,质量日的主题将聚光灯放在学习的习惯上,通过展示“学习就是关爱”--关爱我们的产品、我们的客户、我们的同事和我们的商业伙伴。以在线、现场和混合形式举办了150多个讲习班、培训、最佳做法分享会、招贴会和模拟。例如,在D&E中,根本原因分析逃生室体验向参与者展示了对比思维,这是一个可以用来解决复杂技术问题的过程。另一个例子是模拟几个部门之间的成本决策会议,在那里,工程师可以体验到,例如,在那些给定的情况下,作为客户支持经理是什么感觉。除了这些质量市场计划外,今年我们还推出了由业务线组织的跨行业HaQathons,解决了重复使用、诊断、供应商工艺和客户之旅等领域的业务质量挑战。来自各行业的同事应邀合作,提出新的见解和想法,以应对这些挑战,并为业务和客户创造价值。在债务和股票市场提供额外资金。我们力求确保我们的主要流动资金来源在任何时候都足以满足我们的流动资金需求。我们的流动资金需求受到许多因素的影响,其中一些因素基于业务的正常持续运营,另一些因素则受到全球经济的不确定性、我们业务的庞大性质和半导体行业的特定特征的影响。虽然我们的现金需求根据这些因素的时间和程度而波动,但我们相信,运营产生的现金,加上我们的其他流动性来源,足以满足我们的预期需求,包括我们预期的资本支出、研发费用和偿债。我们将现金和现金等价物和短期投资投资于拥有投资级信用评级的金融机构、政府和政府相关机构的短期存款,以及投资于高评级中短期债务证券的货币市场和其他投资基金。我们的投资主要以欧元计价,在一定程度上也以美元、新台币和人民币计价。融资政策我们继续坚持我们长期坚持的审慎融资政策,这一政策基于三个基本要素:·流动性:保持财务稳定,目标是将我们的现金和现金等价物以及短期投资保持在2.0至25亿欧元的最低范围以上·资本结构:保持资本结构,目标是稳定的投资级信用评级·现金回报:提供可持续的每股股息,每半年支付一次,同时通过股票回购或资本偿还向股东返还多余现金流动性我们的主要流动性来源包括现金和现金等价物,短期投资和可用的信贷安排。此外,我们可能会不时提高


银行账户662.2 1,891.8现金及现金等价物6,049.4 6,951.8存款于金融机构、政府及政府相关机构1,302.2 638.5短期投资1,302.2 638.5我们与多家银行维持一项可用承诺信贷安排,金额为7,000,000欧元,于2021年底及2020年并无未偿还金额。这项贷款的到期日为2026年7月。我们还与中国的一家银行维持着当地的未承诺信贷安排,以确保在任何时候都满足当地的流动性和运营要求,同时考虑到现有的监管机构对灵活公司间融资的限制。资本结构我们在管理资本结构时的目标是通过保持确保流动性和支持可靠的投资级信用评级的资本结构来保障我们满足资本提供者的能力。根据美国公认会计原则和欧盟国际财务报告准则,资本结构包括债务和股权组成部分。资本结构的改变主要是通过调整支付给股东的股息金额、股票回购或资本偿还金额,以及债务水平的任何变化来改变的。我们的资本结构每年都会根据我们最新的长期财务计划和相关方案与监事会进行正式审查。今年的检讨结果证实,我们会维持现行的融资政策,以配合我们的资本结构。我们目前从穆迪获得的信用评级为A2(稳定)。这一评级于2021年9月从A3上调。我们目前来自惠誉的信用评级为A-(稳定),这与2020年12月31日的评级一致。以债务与股本比率衡量的偿付能力从2020年的0.89微升至2021年的1.71。我们有本金总额为45亿欧元的未偿还欧元债券,到期日如下:未偿还欧元债券到期额500 750 1,000 750 750 750 2022 2023 2024 2025 2026 2027 2028 2030 0.0 0.2 0.4 0.6 0.8 1.0现金回报政策ASML旨在分配股息,股息将随着时间的推移不断增长,每半年支付一次。管理委员会每年在得到监事会事先批准后,考虑到任何中期股息分配,向年度股东大会提交一份关于上一年度宣布的股息数额的建议。任何给定年度的股息建议将受到可分配利润、留存收益和现金的可用性的影响,并可能受到我们对未来潜在流动性需求的看法的影响,包括产能投资、营运资本需求、我们研发计划的资金以及可能不时出现的收购机会。除支付股息外,我们打算根据我们实际和预期的流动资金需求水平以及其他相关因素,定期通过股票回购或资本偿还向股东返还现金。ASML打算宣布2021年的总股息为每股普通股5.50欧元。认识到2021年11月支付的中期股息为每股普通股1.80欧元,这导致向股东大会提出每股普通股3.70欧元的末期股息建议。2021年的总股息比2020年每股普通股2.75欧元的总股息增加了100%。


ASML年报2021年137 A nn UA Liz ed d IV id en d(欧元)每股股息历史(一年的股息在下一年支付,中期除外)0.46 0.53 0.61 0.70 1.05 1.20 1.40 2.10 2.40 2.75 1.80 3.70建议2011 2012 2013 2014 2016 2017 2018 2019 2020 2021 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 5.5 7月21日,2021年,我们宣布了一项新的股票回购计划,将于2023年12月31日之前执行。作为这一计划的一部分,ASML打算回购金额高达90亿欧元的股票,我们预计其中总计45万股将用于支付员工股票计划。ASML打算取消回购的剩余股份。新的计划取代了之前的60亿欧元的2020-2022年股票回购计划,根据新的股票回购计划,该计划尚未全部完成。在2021年,我们回购了14,358,838股(2020年:3,908,429股),总代价为85.603亿欧元(2020年:12.075亿欧元),其中6,601,699股是根据新计划以45.603亿欧元的代价购买的。累计资本回报(资本回报是累计股票回购+股息)截至2011年2012年2013年2014年2015年2016年2017年2018年2019 2020年2021 0 4 8 12 16 20 24为止支付的股票回购股息


ASML年度报告2021年138年度报告中的这一部分概述了我们税收政策的要点。欲了解更多信息和完整的税收政策文件,请访问www.asml.com。此外,请注意,在下面的文本中,‘Tax’和‘Tax’包括关税。我们的征税方法15.2%有效税率(2020年为14.3%)我们认为我们缴纳的税款对我们经营的社区做出了贡献,是我们创造社会价值的责任的组成部分。我们的可持续发展战略和整体业务战略对我们来说很重要,我们的可持续发展战略和整体商业战略支持我们如何运作和我们的税收方法的公开性和透明度。欧元12.35亿欧元缴纳所得税8.18亿欧元荷兰欧元2.15亿美元台湾欧元4100万欧元韩国欧元2400万欧元中国欧元4400万欧元世界其他地区11831 FTE 14,935项知识产权组合专利拥有:95%荷兰0%美国5%台湾0%韩国0%中国0%世界其他地区研发位于:72%荷兰21%美国3%台湾0%韩国3%中国1%世界其他地区所有光刻机都在Veldhoven组装,而很大一部分部件是由我们在荷兰的供应商生态系统提供的,欧洲和美国。一些模块和计量系统是由我们在美国和台湾的工厂生产的。一般来说,一旦我们的新光刻机准备好,就会直接从荷兰运到我们的客户手中。目前,我们的客户主要分布在台湾、韩国、中国和美国四个地区。我们在这些国家和地区的业务有助于我们的销售和客户服务工作。总体而言,我们的销售和客户服务活动的主要角色都设在维尔德霍温。在我们开展活动的国家,对反洗钱活动的补偿公平地反映了符合当地法律和国际标准的运作模式。在可能的情况下,我们已经(或正在商定)与当地税务机关商定我们活动的薪酬水平。此外,我们还制定了监控各种税收的流程和控制措施,如海关、增值税(VAT)、企业所得税(CIT)和预扣税(WHT)。我们经常与高级管理层讨论我们的税务方法。在反兴奋剂机构内定期提供培训,以强调遵守法律和条例的重要性。我们的主要原则是,我们的税务状况反映了我们的业务运营,即在我们的制造和研发活动的支持下,销售光刻系统和相关产品和服务。自公司成立以来,ASML的运营模式直截了当,我们位于荷兰维尔德霍温的园区是我们全球业务的核心。下面描述的运营模式对于理解ASML的税务状况至关重要。在ASML的全球员工中,55%位于荷兰,20%位于美国地区,24%位于亚洲,1%位于EMEA(不包括。荷兰)。在所有高级管理职位中,70%位于荷兰。这反映了ASML荷兰公司正在积极领导和控制集团的活动、业绩和风险。在研发活动方面,我们72.5%的研发员工位于荷兰。其余部分主要受雇于我们在美国的法人实体,其余部分分散在其他地点。我们美国和其他外国研发机构的成本由荷兰的ASML承担,我们95%的专利由ASML荷兰拥有。在2000-2020年期间,ASML荷兰承担了大约167亿欧元的研发成本,平均占同期我们年收入的15%以上。


ASML 2021年年报139我们的税收原则以下原则指导我们如何在我们经营的国家/地区申报和纳税:1.我们按照税收法律法规的文字和精神行事。2.我们在一个司法管辖区报告的应纳税所得额与该司法管辖区内商业活动的附加值相称。3.ASML的利润分配方法是基于经济合作与发展组织发布的国际公认标准,以及我们运营的当地司法管辖区的相关规章制度。4.我们在相互尊重、透明和信任的基础上,与我们所在司法管辖区的税务当局进行公开和建设性的对话,披露所有相关的事实和情况。我们不会采用旨在避税的税制,也不会人为地将利润转移到低税率司法管辖区。5.除ASML业务目的外,我们不在避税天堂(由欧盟委员会的“黑名单”定义)运营。6.我们根据报告要求、美国公认会计准则和国际财务报告准则进行税务披露。我们的税务策略ASML的税务策略以我们的税务原则为基础,并与我们的业务策略和可持续发展目标紧密结合。它是由管理委员会批准的,并与我们对ASML税务和海关事务的责任一致。我们的重点是:·我们在管理所有利益相关者方面的角色。从外部角度与税务机关和监管机构沟通,也要与投资者沟通。在内部,支持我们的业务管理风险,控制风险,同时保持其行政程序和工作方式的效率。我们以一种综合的方式与ASML内的其他专家合作。·税收的未来,包括ESG(包括税收透明度)和税收技术的发展。·合规与控制:这包括为适当的税务风险管理和报告目的制定、实施和监测流程和控制。此外,通过根据适用的税收法律和法规(包括及时缴纳应缴税款)。·项目:我们的业务每年都在变化,我们运营的监管环境也在变化。我们致力于处理这些变化的项目,以确保实施的解决方案是合规和高效的。同样,我们不断努力简化,并审查现有业务模式的合规性。·ASML税务和海关组织。在这个快速变化的世界里,拥有一个多样化的团队是很重要的,他们可以应对变化,而且不仅仅是优秀的税务和海关专家。沟通、数字和项目管理技能正变得越来越重要。我们努力按照ASML的价值观(协作、挑战和关怀)共同努力,相互发展。税务管理我们的全球税务部门负责日常的税务管理。它处于我们管理委员会的监督之下,管理委员会对ASML的税收方法负有最终责任。我们的综合全球税务部门分布在ASML运营的三个地区枢纽,并在跨境税务问题上保持一致。ASML的全球税务部门与ASML的全球业务有很好的联系。这有助于确保遵守适用的当地税收法律和法规。纳税申报义务通过中央纳税合规仪表板进行监测。控制是通过我们的SOX和内部控制框架实施和执行的。自动化被用于各个领域,以支持业务税务流程以及税务风险管理。监督委员会(SB)的审计委员会审查我们的税收战略,并每年与我们的税务专业人员协商,讨论税收政策和税收法律法规对ASML的影响。培训方案到位,以确保全球税务部门成员与全球税务格局的最新发展保持一致和最新情况。此外,税务部门成员定期为来自商业和其他财务部门的利益相关者提供税务认识课程。我们的目标是明确我们税务状况的所有方面,并以透明的方式分享这些信息,与我们开展业务的国家的税务当局建立诚实、透明和信任的关系。ASML的税收方法旨在维持较低的税收风险偏好。例如,这反映在我们与我们主要司法管辖区的税务当局签订的双边预定价协议(BAPA)的数量上。税收贡献ASML的技术正在推动我们的盈利能力。我们大约90%的收入在荷兰纳税,因为我们通过研发、设计和制造活动创造的大部分价值都在那里。其他活动的收入,如地区设备销售和客户支持活动,在这些活动发生的国家纳税--主要是台湾、韩国、中国和美国。


ASML年度报告2021年140已付/已收税款(以百万欧元为单位)1,190欧元875欧元203欧元11欧元(638)欧元818欧元(632)欧元569欧元203欧元215欧元267欧元93荷兰美国台湾韩国中国所得税工资预扣税红利预扣税(750)欧元(500)欧元(250)欧元0欧元250欧元500欧元750欧元1,000欧元1,250欧元为了促进创新,我们利用了我们运营的国家引入的激励措施-荷兰创新箱和美国的外国衍生无形收入法规是最重要的激励措施。这些优惠措施的使用对我们的综合有效税率产生了有利的影响。有关这些条例的财务影响的更多信息,请参阅合并财务报表附注21。我们积极参与关于这些激励措施未来发展的讨论,因为这些激励措施极大地支持了我们能够开展的研发活动的水平,以及为我们开展业务的国家/地区的人们创造就业机会的能力。废除或更改这些或其他税务法规(例如,第1支柱和第2支柱发展)可能会对我们未来的综合有效税率产生影响。我们的财务报表中提供了披露信息,包括在我们主要市场收取的税款/税款。已支付的所得税包括根据ASC 740归类为所得税的预扣税。我们以透明和准确的方式向税务机关提供逐个国家的纳税报告。下面我们包括了我们最重要的国家的关键数据(占总人数的97%)。主要国家/地区所得税情况(欧元,单位:百万)荷兰美国台湾韩国中国对外净销售额69 1,635 7,355 6,256 2,673内部净销售额19,388 2,213 1,651 571 266所得税前收入6,237 337 56 183 39所得税支出(实际)1920(40)17 60 14所得税支出(实际)818 93 215 41 24 1.所得税支出(实际)涉及当期和递延所得税支出/收益的总额2.已支付的所得税涉及2021年支付的实际所得税


ASML年度报告2021年141监事会


ASML年度报告2021年142监事会主席Gerard Kleisterlee(监事会主席)致词尊敬的利益相关者,2021年,ASML表现出色-在微芯片需求强劲飙升的推动下,这是创纪录的一年,同样是在不利的情况下。我们仍然必须应对新冠肺炎和一些供应问题,但我们看到了惊人的增长,使2021年成为比2020年更好的一年,营业额、现金流和盈利能力都创下纪录。令人印象深刻的技术进步公司的成功始于ASML在客户方面的成功。随着ASML的EUV 0.33 NA平台在大批量制造中的广泛采用,以及对下一代EUV 0.55 NA(High-NA)平台的承诺不断增加,我们看到了巨大的进步,在该平台上取得了良好的技术进步。在第四季度开始时,监事会技术委员会访问了德国的ZEISS,在那里正在进行第一个新系统的组装准备工作,我们对从事这一工作的团队的巨大成就印象深刻。应对激增的需求我们继续看到需求激增,不仅是对我们领先的EUV光刻系统,而且对成熟节点中的半导体行业的主力DUV也是如此。为了满足我们整个产品组合的强劲需求,我们首先正在缩短制造周期,并与我们的供应链合作,提高我们整个产品组合的产出能力。2021年,我们看到我们的业务以及ASML的供应链出现了一些紧张,导致年底系统发货出现一些延误。然而,在ASML典型的‘让我们就这么做’的心态下,这些问题已经得到了最高优先的解决。作为半导体生态系统中值得信赖的合作伙伴,ASML在与领先客户就EUV 0.33 NA和EUV 0.55 NA(High-NA)进行对话方面取得了很大进展。特别是在EUV中,对ASML来说,对其客户完全透明是很重要的,因为他们别无选择。ASML不只是销售设备,而是与客户合作,实现特定的晶圆产量-这需要与客户的目标完全一致,这可能会因他们经营工厂的方式而有所不同。ASML总是需要适应这一点,从客户的角度思考,并与其产品和服务完全一致,以满足他们的需求。维持一个运转良好的全球半导体生态系统,ASML在一个变得越来越复杂的世界里运作。同样在2021年,随着芯片成为现代数字生活的核心,ASML一直是世界超级大国之间正在进行的贸易谈判的一个话题。ASML在这方面采取了中立的立场。这里的起点一直是,也将是ASML的目标是以一种允许公司在所有适用的规则和法规范围内继续为所有客户服务的方式与客户合作。我们坚信,在合作、公平竞争和信任的基础上,避免碎片化并维持一个运转良好的全球生态系统,符合半导体和电子行业所有利益攸关方的利益。对ESG可持续性的日益关注ASML非常认真地对待当今对ESG(环境、社会和治理)可持续性的日益关注。我们为我们所做和所能控制的事情负责。在社会和治理方面-我们正在照顾我们的员工和我们所在的社区,我们得到了很好的治理。环境方面主要是关于应对气候变化,这是一项全球挑战,需要包括我们在内的每个人都采取紧急行动。我们必须照顾到我们自己的环境足迹、我们供应商的足迹以及我们提供的产品和服务的潜在负面影响。我们要求我们的供应商向我们展示他们的环境项目,并在重复利用等领域与他们合作开展联合项目。在我们这边,我们有责任最大限度地减少能源消耗,


ASML年度报告2021年143我们的光刻解决方案的温室气体排放和材料使用,我们已经制定了计划。作为一个快速发展的组织,强劲的增长伴随着挑战,ASML对人员和领导力发展的关注是其成功的关键。每一位ASML新员工都应该感到受欢迎,迅速成为这个动态环境的一部分,并能够贡献和发展自己的技能。这需要一套组织良好的过程和控制,以及强大的关怀文化。像我们在2021年所做的那样,雇佣这么多人是有责任培养他们的人才的。此外,我们需要为未来做好准备和适应。凭借广泛的先进光刻解决方案和服务,以及强劲增长的装机群,我们必须谨慎地平衡我们对成熟业务的成本、质量和产量的关注与我们在技术前沿不断创新的动力。对2022年充满信心监事会自豪地认可ASML员工所做的巨大努力--归根结底,供应链和创新生态系统中的员工和他们的合作伙伴使这一切都发生在我们仍然处于的具有挑战性的环境中。ASML在管理自己度过新冠肺炎危机的过程中做了令人惊叹的工作,同时继续提供优秀、先进和成熟的产品和服务。我们满怀信心地期待着,我们坚信,ASML正在走上一条清晰的道路,继续使突破性技术能够解决人类面临的一些最严峻的挑战。该公司还制定了正确的战略,以可持续的方式支持全球电子生态系统,并为所有利益相关者提供价值。监事会主席杰拉德·克莱斯特利


ASML年度报告2021年144监事会报告6次监事会会议38%女性成员出席率98.0%平均任期3.9年监事会监督和建议管理委员会履行其管理任务并为ASML确定方向,侧重于长期和可持续的价值创造。监事会成员是完全独立的。监事会监督管理委员会执行其管理任务并为其制定方向,并向其提供咨询。监事会专注于长期和可持续的价值创造,目标是确保管理委员会的战略确保其作为半导体行业整体光刻解决方案供应商的领先地位。作为监事会,我们坚持适当的制衡制度,提供监督,评估业绩,并在需要或要求时提供建议。通过良好的治理,我们帮助确保ASML的行动符合公司及其利益相关者的最佳利益。在这份监事会报告中,我们报告了我们在2021年的活动。2021年期间,全球半导体行业整体增长17.3%,而新冠肺炎疫情仍有影响。ASML继续发展,并欢迎新的同事,同时保障健康、安全和业务连续性。不断增长的客户需求和公司的增长在2021年带来了额外的挑战。我们很高兴看到ASML能够实现惊人的增长,使2021年成为比2020年更好的一年,营业额、现金流和盈利能力都达到了创纪录的水平。我们在2021年的活动在履行2021年的任务时,监事会的议程围绕着战略及其执行、财务和运营业绩、业务发展、风险管理以及人员和组织。根据年度战略审查中商定的反兴奋剂机构的战略优先事项,以深入探讨的方式广泛讨论了几个专题,以便进行有重点和深入的审查。战略和长期价值创造在2021年期间,监事会花了大量时间讨论战略议题。我们对ASML的公司战略、长期财务计划以及EUV、DUV和Applications的长期计划进行了定期的年度审查。监事会全力支持ASML战略,该战略继续围绕加强客户信任、整体光刻和应用、DUV竞争力、用于制造的EUV 0.33 NA和EUV 0.55 NA(High-NA)插入的五大支柱。随着对ASML产品的强劲需求,再加上该公司专注于执行其战略重点,监事会对ASML的长期增长机会和继续向其利益相关者交付价值充满信心。作为年度战略审查的一部分,我们举办了专门的研讨会,重点关注半导体市场的长期发展和外部全球力量,包括地缘政治和ESG的可持续性。其他讲习班涉及与战略执行、放大端到端供应链以及安装基础和服务战略有关的挑战。这些讲习班使监事会和管理委员会能够就关键战略问题进行积极和集中的讨论,作为监事会,我们高度重视这种对战略决策进程作出贡献的方式。除了年度战略审查外,全年还通过深入研究的方式处理战略专题,使监事会能够有重点地进行深入审查。


ASML年度报告2021年145深度潜水:与Carl Zeiss SMT的战略合作关于与Carl Zeiss SMT的战略合作,管理委员会和监事会讨论了新的总体框架协议,涵盖了两家公司关系的整个范围。在审查中,我们深入研究了该协定的三大支柱:行为和文化、治理和商业,以及知识产权方面的新安排。我们认为新的框架协议是向前迈出的一步,特别是在两家公司之间的关系和合作方面,以及在简化业务执行方面的机会。深潜:应用和整体光刻策略对应用和整体光刻策略进行了深入的回顾。我们研究了关键市场领域的技术变革带来的增长机会、技术路线图以及它如何支持ASML的业务。对增长的关键驱动因素进行了详细研究,包括多光束检测、光学和电子束计量以及计算光刻和扫描仪应用软件等应用。监事会对应用程序和整体光刻战略感到满意,并相信ASML处于有利地位,可以通过执行其路线图来创造价值。财务和经营业绩我们审查了年度和中期财务报表,包括非财务信息、季度业绩和随附的新闻稿,以及美国公认会计准则和欧盟国际财务报告准则年终审计的结果。作为财务最新情况的一部分,监事会在审计委员会的协助下审查了反洗钱组织的筹资和资本返还政策。监事会批准了管理委员会关于在2021年支付末期和中期股息的建议。此外,监事会批准了2021-2023年的股票回购计划,并每季度与管理委员会讨论该计划的执行情况。召开了一次特别监事会会议,讨论2021年投资者日前后的信息,在此期间,投资者和其他关键利益攸关方了解了我们的长期战略和财务模式的最新情况。我们相信,ASML处于有利地位,能够继续以可持续的方式提供长期增长和利益相关者价值。2021年的业务发展我们见证了先进和成熟节点的晶圆需求增加,这是受全球电子行业大趋势以及各国推动技术主权的推动。这种激增的需求随之而来的是我们自身运营和供应链中的挑战。监事会密切监测这方面的事态发展,并确保管理层以最优先的态度应对这些挑战。人和组织鉴于反洗钱法近年来的显著增长,人和组织专题仍然是2021年监事会的重点领域,因为我们认为这些对反洗钱法未来的成功至关重要。有几次,我们从人力资源和组织(HR&O)得到了最新情况。讨论的主题包括ASML领导框架和年度员工敬业度调查结果。此外,监事会在遴选和提名委员会的协助下,就ASML的人才管理和人员发展方案以及管理委员会和高级管理层的继任规划进行了广泛的讨论并提供了咨询意见。监事会很高兴看到这一努力被投入到新员工的入职培训中,使他们能够尽快发展并做出贡献。此外,重要的是业务流程要适合增长。我们监督了各种转型项目,比如一个。一个是ASML的转型计划,在其升级的主干信息系统的支持下,致力于在我们的客户产品的生命周期中确保配置完整性,同时增强业务流程和保持灵活性。我们特别关注该计划的子路线图,在这些路线图中,进展不如计划,我们关注挑战和缓解行动。我们将继续密切关注事态的发展。作为半导体行业的技术领导者,技术进步是ASML的首要任务之一。监事会高兴地看到,ASML的EUV 0.33 NA扫描仪平台在大批量制造中得到了越来越广泛的采用,并越来越多地致力于下一代EUV 0.55 NA(High-NA)平台,该项目的团队在该平台上取得了巨大进展。


ASML年度报告2021年146深度:ESG可持续性战略我们与管理委员会讨论了加强ESG可持续性的重点,我们审查了ASML基于环境、社会和治理领域的九个主题的新ESG可持续性战略。我们很高兴ASML内部对ESG可持续性的进一步关注,其中包括我们产品的能效、重复使用、多样性和包容性以及负责任的供应链等主题。我们打算将管理委员会的薪酬目标与新的ESG可持续性战略联系起来,并增加ESG业绩衡量的权重,作为修订管理委员会薪酬政策的一部分,我们打算在2022年提交大会。我们就ASML的战略和优先事项、ASML的表现和挑战,特别是与ASML业务的增长和增加的复杂性有关的问题交换了意见。其他讨论议题包括气候变化、亚非裔美国人的领导力和新冠肺炎流行病,特别是与在家工作有关的挑战以及对亚非裔美国人的创新和身心健康的潜在影响。会上讨论了监督委员会和管理委员会的组成,特别是在2021年年度大会上生效的变化。劳资委员会和监事会还讨论了管理委员会和监事会的薪酬政策。2021年10月,技术委员会访问了我们的主要供应商之一ZEISS,委员会在那里会见了ZEISS管理层,讨论了ASML和ZEISS之间的合作,特别是考虑到2021年达成的新框架协议。2021年监事会会议期间的其他相关主题包括:·IT和安全:我们审查了IT战略,并在2020年第4季度进行了更新,并围绕将IT作为业务运营的目标、业务相关性、可能的IT艺术和员工敬业度进行了研究。特别注意到安全和业务连续性方面的风险状况有所增加,以及综合信息技术战略如何将业务相关性、价值交付和风险管理结合在一起。·剥离柏林Glas的非半导体业务:2021年第二季度将技术玻璃业务出售给Glas Trösch集团,2021年第四季度将医疗应用和SwissOptic业务出售给Jenoptik。·遵守规章制度:监事会监测规章制度的遵守情况,包括《荷兰公司治理守则》,并随时了解关键法律事项。·监事会的组成、概况和运作:我们广泛讨论了我们自己的组成、概况和运作、其各委员会的组成和运作以及管理委员会的组成和运作。更多信息可在推选和提名委员会报告中找到。·管理委员会业绩:我们还监测管理委员会的业绩,并决定管理委员会的薪酬目标和目标业绩。更多信息可以在薪酬委员会的报告中找到。风险管理由于风险管理是监事会职责的一个关键要素,我们在年内定期收到风险管理的最新情况。会议注意到风险形势和这一领域的事态发展、风险偏好以及管理委员会为减轻重大风险而采取的措施。2021年特别关注的领域是整个产品组合对ASML产品的需求强劲增长带来的挑战,这影响了ASML风险格局中的多种风险。另一个反复引起注意的问题是与本组织快速发展有关的风险。年内,在深潜会议中对特定的风险领域进行了审查。2021年的主题包括信息技术和信息技术安全风险、知识产权风险和全球贸易形势下的政治风险。有关ASML风险管理的更多信息,请阅读:我们在2021年的表现-治理-我们如何管理风险。与利益相关者的关系监事会定期讨论ASML与其股东的关系,以及全年与股东就ASML的战略和业绩、治理和ESG等主题进行接触的监事会成员。薪酬委员会与ASML的各种股东和其他利益攸关方就管理委员会的薪酬问题举行了接洽会议。有关这一主题的更多信息可在薪酬报告中找到。2021年,监事会代表团与劳资委员会举行了两次正式会议。在第一次会议上,由于在2020年12月举行的劳资委员会选举后,劳资委员会的组成发生了重大变化,双方花了时间相互了解。


ASML《2021年年度报告》147该年讨论的专题概览见下表。第一季度·2020年年度业绩和年度报告·2020年外部审计报告·2020年末期股息·管理和监事会薪酬委员会,·风险管理包括深度潜水:知识产权风险·市场和客户深度潜水:逻辑段·结果监事会评估·法律更新·监事会组成·法律问题报告·年度股东大会议程·第二季度·业务优先事项更新·战略深度潜水:地缘政治·战略深度潜水:蔡司·年度股东大会更新第三季度·2021年法定中期报告·股票回购计划·业务优先事项更新·人力资源与运营更新·风险管理:更新风险前景与深度潜水:IT战略与安全·战略深度潜水:应用程序与整体平版印刷。HMI经验教训·战略深入:ESG·组成SPAA董事会按2022年1月1日·资本市场日宣传Q4·2021年中期股息提案·2021年和2022年业务优先事项更新·更新地缘政治发展·半导体市场和全球力量/ESG(包括分组会议)·工厂参观·从技术趋势到ASML产品战略·长期端到端供应链设置/安装基础管理和服务(包括分组会议)·生产线增长、成本和资本分配2020-2030年·供应链短缺·产出能力挑战·实物安全·长期财务计划和2022年年度计划·融资政策包括。资本回报和股利政策·人力资源与运营更新:领导框架·一个计划


148会议和出席情况按照年度会议时间表,监事会每年至少开会四次,每当主席、一名或多名成员或管理委员会要求开会时。2021年,监事会召开了6次会议。在这些会议中,四次是虚拟举行的,两次是在维尔德霍温的ASML总部举行的。除这些会议外,监事会和(或)管理委员会成员之间还举行了几次非正式会议和电话。监事会会议和监事会委员会会议分几天举行,确保有时间进行审查和讨论。在每次会议上,监事会成员之间讨论会议的目标和成果,以及监事会和管理委员会的职能和组成等议题。每次会议还讨论了监事会不同委员会的报告。虚拟会议和面对面会议像2020年一样,2021年大多数监事会和监事会委员会会议都是由于新冠肺炎疫情而虚拟举行的。为了应对虚拟会议带来的挑战,我们继续应用各种措施:我们计划在更多天内缩短会议时间,我们以小组形式举行分组会议以优化互动,除了提供书面会议文件外,我们还利用视频作为会议准备的一种手段。监事会对这些新的解决方案非常积极,并在2021年亲自举行的会议期间继续使用这些解决方案。如下表所示,监事会会议和四个监事会委员会的会议出席情况良好。除监事会成员外,还邀请管理委员会成员参加监事会会议。管理委员会所有成员都出席了2021年的监事会会议。高级管理层成员定期被邀请就其专长领域内的专题提供最新情况。这使监事会有机会结识ASML的各种管理人员,监事会认为这些管理人员对其人才管理和继任规划活动非常有用。监事会会议出席情况概述监事会审计委员会薪酬委员会遴选和提名委员会主席Gerard Kleisterlee(主席)6/6 6/6 n/a 9/9 5/5 Annet Aris 6/6 n/a 6/6 9/9 5/5 Birgit Conix1 4/4 3/3 n/a Marc Durcan 5/6 n/a 4/4 5/a沃伦东部6/6 6/6 n/a特里·凯利6/6/6 n/a 9/9 4N/a Rolf-Dieter Schwalb 6/6/6 9/9 n/a n/a Hans Stork 6/6 n/a 9/9 n/a 5/5 Douglas Grose2 2/2 n/a n/a 5/5 3/3 Carla Smitts-Nusteling3 2/2 3/3 n/a 1.在4月29日的年度股东大会上任命,2021年还被任命为审计委员会成员。2.于2021年4月29日在年度股东大会上卸任。3.于2021年4月29日在年度股东大会上卸任。组成监事会决定履行其职能所需的监事会成员人数,最低人数为三人。监事会目前由八名成员组成。监事会非常重视其组成、独立性和多样性,并努力满足所有相关准则和要求。为了确保适当和平衡的组成,监事会持续花大量时间讨论其概况、组成和轮换时间表。


149为了妥善履行其任务,监事会认为,其成员能够彼此之间、管理委员会和其他利益攸关方之间采取关键和独立的行动是非常重要的。监事会及其个别成员的独立性每年进行一次评估。根据《荷兰公司治理守则》的定义,监事会所有现任成员都是完全独立的,并已完成了涉及相关独立性要求的年度调查问卷。多样性ASML监事会目前的组成在性别、国籍、知识、经验和背景方面是多样化的,在国际商业的金融、经济、技术、社会和法律方面具有适当水平的经验。有关多元化的更多信息,请参阅公司治理-其他董事会相关事项一节。监事会技能矩阵Gerard Kleisterlee(主席)Annet Aris Birgit CONIX Marc Durcan Warren East Terri Kelly Rolf-Dieter Schwalb Hans Stork General Skills(上市)国际公司执行董事会成员L财务/治理L薪酬L人力资源/员工关系L IT/数字/网络L ASML特定技能半导体生态系统L深刻理解半导体技术L高科技制造/集成供应链管理LL在亚洲的商业L在2021年的年度股东大会上的组成发生了变化,道格拉斯·格罗斯和卡拉·史密茨-努斯特林的任期已经届满。格罗斯和斯米茨-努斯特林在监事会任职八年后,将在2021年的年度股东大会上辞去监事会职务。正如在2020年年度股东大会上宣布的那样,监事会成员的人数暂时从8人增加到2020年的9人。格罗斯先生和斯米茨-努斯特林女士退休后,监事会决定只提名一名候选人--比吉特·康尼克斯女士--参加2021年年度股东大会。ASML荷兰公司劳资委员会决定不使用其对2021年年度大会产生的空缺的建议权,大会决定任命CONIX女士,任期四年,自2021年年度大会起生效。因此,监事会在2021年的年度股东大会上由8名成员组成。2022年组成的变化根据2022年年度股东大会,特里·凯利和汉斯·斯托克的任期将届满。斯托克已通知监事会,他无法获得连任,他将在本届任期结束后于2022年的年度股东大会上退休。监事会感谢斯托克先生在过去八年中做出的宝贵贡献,在此期间,监事会从他的知识和经验中受益匪浅。凯利已经通知监事会,她可以在2022年的年度股东大会上连任。由于凯利女士最初的任命是根据劳资关系委员会增强的推荐权而作出的,因此,就凯莉女士因轮值退休而出现的空缺,劳资委员会亦有更大的推荐权。


ASML 2021年年度报告150 2022年年度股东大会的议程和解释性说明将载有关于提名(重新)任命监事会成员的进一步信息。关于监事会成员的更多信息和背景,包括国籍、性别和年龄的详细信息,请参阅我们2021年业绩-治理-公司治理-监事会的监事会成员信息,以及本监事会报告中包含的监事会技能矩阵。入职和培训我们为新任命的监事会成员制定了全面的入职计划,旨在确保新成员对我们的业务和战略以及我们面临的主要风险有很好的了解。入职计划包括与其他监事会和管理委员会成员的会议、技术教程以及我们的业务线、部门和公司部门的详细演示。现场参观和工厂参观也是入职计划的一部分。在加入监事会时,CONIX女士参加了一个入职课程,该课程部分是虚拟的,部分是亲自参加的。为了确保永久性教育,监事会定期在全体会议和监事会委员会会议上就各种主题进行深入探讨。在2021年期间,就各种主题举行了战略和风险深度潜水,请参阅本监事会报告中的我们的活动2021部分。此外,外部演讲者或顾问出席了各种委员会会议,就技术发展和技术前景等主题提供由外而内的意见。监事会还进行了实地考察。我们参观了位于ASML总部的EUV工厂,并了解了EUV 0.33 NA和EUV 0.55 NA(High-NA)计划的最新情况。我们看到了第一个高NA系统的组装准备工作,并对所取得的成就印象深刻。技术委员会访问了ZEISS,其中包括ZEISS的High-NA计划的进展情况。最后,组织了一次ASML在威尔顿和圣地亚哥的生产设施的虚拟参观。评估监事会非常重视结构性和持续的评估进程,认为这是确保不断改进我们的工作方式的一种手段。每年,监事会在遴选和提名委员会的协助下,评价监事会及其各委员会的组成、权限和运作情况、监事会与管理委员会、其各委员会、其个人成员、监事会和各委员会主席之间的关系、以及管理委员会及其个人成员的组成和运作情况,以及对监事会和管理委员会成员的教育和培训需求。原则上,对监事会的评价每三年由一名外部顾问进行一次;在其他两年,对监事会的评价是通过使用书面问卷进行自我评估,然后由主席和监事会成员个人进行一对一的会议。2021年对监事会及其委员会的评价是通过遴选和提名委员会编写的网上调查进行的。监事会主席还与监事会成员个人举行了会议。评价围绕以下主题展开:组成、利益攸关方监督、战略监督、风险管理和继任规划、管理和会议重点以及改进的优先事项。管理委员会和外聘审计员的向上审查也是年度评估的一部分。监事会的评价结果在2022年初进行了讨论。结论是,监事会及其委员会继续运作良好。进一步改进监事会职能的建议包括进一步优化会议议程,以确保经常性项目和战略专题以及与业务、人员和组织有关的专题之间的适当平衡。其他建议涉及在会议期间的发言和讨论之间取得平衡,以及在会议之外增加与管理层和本组织的接触。管理委员会还在2021年进行了一次自我评价,重点是管理委员会的集体作用、责任和职能,以及管理委员会成员个人的职能。这一自我评价是在管理委员会专门讨论这一问题的若干场外会议上进行的。作为自我评价的一部分,完成了一项调查,并与管理委员会个别成员进行了面谈。讨论的主题包括管理委员会的战略重点、利益相关者参与、人员和组织、董事会动态和董事会组织。同样在2022年,还将举行一次管理委员会特别会议,继续讨论所提出的意见并采取后续行动。自我评价的总体结论是,反洗钱法有一个运作良好的管理委员会。还与监事会及其遴选和提名委员会讨论了自我评价问题。


ASML 2021年年度报告151监事会委员会3协助监督我们财务报告的完整性和质量以及风险管理和控制的有效性4与审计委员会和技术委员会合作监督薪酬政策的制定和执行4就我们执行业务战略所需的技术计划提供咨询4协助拟定监事会和管理委员会的遴选标准和任命程序监事会有四个常设委员会,其成员由监事会从其成员中任命。全体监事会仍然对所有决定负责,即使是由监事会的一个委员会准备和作出的。监事会的四个委员会负责准备董事会全体会议的决策。在全体监事会会议上,各委员会的主席报告委员会会议上讨论的事项。此外,所有监事会成员都可以获得委员会会议的会议文件和会议纪要,使全体监事会能够做出适当的决定。审计委员会审计委员会协助监事会监督我们财务报告的完整性和质量,以及内部风险管理和内部控制制度的有效性。成员主要责任·Rolf-Dieter Schwalb(主席)·Birgit CONIX·Warren East·监督ASML财务报表和相关非财务披露的完整性和质量,并提出建议以确保这种完整性;·监督会计和财务报告程序以及财务报表审计;·监督我们内部风险管理和控制系统的有效性,包括遵守相关法律和法规以及行为守则的效果;·监督我们的披露控制程序和程序系统以及财务报告内部控制系统的完整性和有效性;·监督外聘审计员的资格、独立性和业绩,并确定其报酬;和·监督内部审计的运作。审计委员会的成员都是监事会的独立成员。监事会根据《萨班斯-奥克斯利法案》第407节和荷兰法律规则,考虑到他们广泛的财务背景和经验,决定Schwalb先生和Conix女士都有资格成为审计委员会的财务专家。审计委员会获得所有相关信息,以便能够充分和有效地监督财务信息的编制和披露。这包括能够判断未来6至12个月前景和预算的(半导体)市场的现状和发展、欧盟国际财务报告准则和美国公认会计准则的应用、会计政策的选择以及内部和外部审计师的工作。审计委员会每年至少举行四次会议,并且总是在季度、半年和年度财务业绩公布之前召开。2021年,审计委员会召开了6次会议。


ASML 2021年年度报告152经常性议程议题(季度)出席·财务更新和融资·审查季度财务结果和新闻稿·会计更新·内部控制更新·意见外聘审计员·风险和内部审计更新·披露委员会报告·法律事项报告·道德和合规除审计委员会成员外,监事会主席尽可能出席审计委员会会议。外聘审计员和内部审计员有出席审计委员会会议的长期邀请,并出席了2021年审计委员会的所有会议。首席执行官、首席财务官、财务执行副总裁、公司总会计师以及风险和业务保证副总裁应邀参加会议。以下概述提供了审计委员会2021年会议期间讨论的一些专题,以及反复出现的议程专题。Q1·2020年度报告和财务报表美国GAAP和欧盟-IFRS·会计深潜:资产负债表审查·2020外部审计报告·年度报告流程·资本回报:2020年末期股息和股票回购计划·舞弊风险评估·2020年外聘审计师评估结果·业绩自我评估审计委员会·年度计划风险和内部审计Q2·批准的外部审计计划2021·管理和监事会支出报告2020 Q3·法定中期报告2021·外部审计轮换流程·合规深度潜水:出口控制·股票回购计划2021-2023年·财务和IT转型计划Q4·2021年中期股息·会计深度:蔡司框架协议·2021年年度报告流程·长期财务计划·2022年年度计划·合规深入:财务合规和国家合规韩国·年度税务更新·外部审计更新·程序审查规则审计委员会·2021年外聘审计师评估财务流程,审计委员会除其他事项外,将重点放在财务报告上,尤其是审查反洗钱组织的年度和中期报告,包括年度和中期财务报表以及非财务信息。审计委员会还密切监测进展情况,并讨论了美国公认会计准则和欧盟国际财务报告准则年终审计的结果。在出版之前,审查了季度业绩和随附的新闻稿。公司总会计师每季度向审计委员会提供会计最新情况,重点介绍与本季度相关的主要会计事项。审计委员会在这方面经常把重点放在收入确认上,因为这是一个复杂的会计问题,外聘审计员也认为这是一项重要的审计事项。审计委员会季度程序的其他重要内容包括讨论外聘审计员对会计事项的意见,以及披露委员会关于季度披露的准确性和完整性的报告。在这一年中,深入讨论了具体的会计问题,例如,阿斯佩尔伊斯兰会议组织与ZEISS之间于2021年9月21日达成的新框架协议的会计方面。在这次审查中,审计委员会注意到管理层和外聘审计员对会计处理的评估,并同意这些结论。还进行了年度深入的资产负债表审查。会议广泛讨论了ASML的业务和财务短期和长期业绩,考察了各种业绩情景及其对ASML的结果、现金产生以及融资和资本返还政策的影响。2021年的特别重点是客户需求的激增、ASML为满足这一需求而交付的能力以及对财务数字的潜在影响。审计委员会审查并向监事会提供了关于长期财务计划、反洗钱法筹资和反洗钱法资本返还政策的咨询意见。具体讨论的是拟议的末期股息支付。


ASML《2021年年度报告》153关于2020财政年度和2021年财政年度中期股息,经监事会根据审计委员会的建议核准。审计委员会随时了解2020-2022年股票回购计划的最新进展,该计划于2021年7月被一个新计划取代。审计委员会还广泛讨论了进入新的2021-2023年股票回购计划,从而考虑到ASML的现金状况和自由现金流,并就管理委员会的建议向监事会提供了积极的建议。风险管理和内部控制在整个2021年,审计委员会密切监测风险管理和风险管理进程,包括根据季度最新进展情况及时采取高优先行动的后续行动。审计委员会监督年度内部控制程序。重点是确定范围、重要性水平、更新内部控制框架、设计和有效性测试,以及管理层对ASML对财务报告和披露的内部控制的评估。还与审计委员会讨论了内部审计员和外聘审计员就内部控制的设计和有效性提出的意见。我们对ASML的内部控制框架在2021年生效的结论感到高兴。2021年与需求增加相关的新兴风险,鉴于ASML的增长和提升对风险格局中的几个风险类别的潜在影响,我们对ASML为满足客户需求而增长和提升导致的新兴风险进行了深入审查。我们详细研究了受影响的风险和管理层确定的缓解措施。我们特别关注流程有效性和效率风险,重点放在支持流程上,不仅考虑到与显著增长相关的挑战,还考虑到ASML产品、IT和流程环境的不同业务模式。提供了关于欺诈和欺诈风险管理的年度最新情况。内部审计审计委员会审查了年度内部审计计划,包括2021年初的审计范围。年内,审计委员会每季度向审计委员会通报内部审计活动的最新进展情况,并审查审计结果以及行动计划的后续行动情况。审计委员会还讨论了内部管理函件,并监测了管理委员会对内部管理函件所提建议的后续行动。外部审计审计委员会审查了2021年外部审计计划,包括范围、重要性水平和费用。它监测外部审计活动的进展情况,包括审查在季度程序中提出的意见和在年终进行的审计。审计委员会监督管理委员会对外聘审计员在其定期更新的内部控制中报告的控制缺陷采取的后续行动。审计委员会确认,关于2021年财政年度的来文没有需要在本报告中提及的重要项目。审计委员会在2021年底评价了外聘审计员的业绩,包括对其独立性进行了审查。评价结果导致审计委员会建议监事会向2022年年度股东大会提交一项建议,任命毕马威为2023年报告年度的外聘审计员。审计委员会决定独立完成这项工作。由于需要轮换审计伙伴,自2021年报告年度起,一名新的牵头审计伙伴开始负责ASML审计。预期到这一变化,已在过渡进程中投入了大量努力,审计委员会对过渡顺利进行感到高兴。2021年9月,审计委员会启动了强制外聘审计公司轮换的遴选程序。虽然目前的外聘审计员只需在2025年后轮换,但审计委员会认为,鉴于有资格选择的候选事务所数量有限,并考虑到潜在候选审计事务所提供的非审计服务,及早开始遴选程序是审慎的。成立了一个遴选委员会,由审计委员会成员、首席财务官、执行副总裁、财务总监和公司总会计师组成。推选委员会在2021年召开了三次会议。在2022年年度股东大会上,我们打算提交一份提案,为2025年报告年度任命一名新的外聘审计员。该提案将载有关于后续进程的更详细信息。道德和合规我们认为以诚信的最高标准行事对我们为利益相关者创造价值和ASML的长期成功至关重要。审计委员会收到关于道德操守方案的季度报告,包括道德操守和道德操守培训战略领域的趋势和风险。审计委员会还参与了ASML直言不讳和不报复政策的修订。在2021年期间,我们还讨论了ASML的合规计划,并对具体的合规主题进行了详细的审查,如出口管制、金融合规和韩国的国家合规审查。此外,一个


ASML 2021年年报154审计委员会在2021年讨论的其他主题是ASML的税收政策和规划、财务和IT转型计划以及季度法律事务概述。审计委员会还对其议事规则进行了年度审查和更新。在大部分审计委员会会议后,内部和外部审计师都会在管理层不在场的情况下与审计委员会开会,讨论他们对审计委员会应注意的事项的看法。这可能包括它们与审计委员会的关系、与管理委员会的关系以及任何其他被认为需要讨论的事项。审计委员会还定期与首席财务官举行一对一会议。薪酬委员会薪酬委员会向监事会提出建议,并准备监事会关于管理委员会和监事会薪酬的决议。成员主要职责·特里·凯利(主席);·安妮特·阿里斯;·罗尔夫-迪特尔·施瓦布;·汉斯·斯托克。·监督管理委员会薪酬政策的制定和执行,并编写监事会薪酬政策;·审查并向监事会提出与管理委员会薪酬变动部分有关的公司目标和目标;·在向监事会提出这些公司目标和目标供监事会核准之前,对实现这些目标以及超过这些目标可能产生的财务后果进行情景分析;·根据这些目标和宗旨评价管理委员会成员的业绩,并在此基础上向监事会建议管理委员会成员的适当报酬水平。根据纳斯达克上市规则,每位成员均为我们监事会的独立非执行成员。凯利女士既不是我们管理委员会的前成员,也不是另一家公司的管理委员会成员。目前,薪酬委员会的成员均不是另一家荷兰上市公司的管理委员会成员。2021年薪酬委员会会议薪酬委员会每年至少举行两次会议,并在认为必要时更频繁地举行会议。2021年,薪酬委员会召开了9次会议。在这9次会议中,有4次是定期会议,5次是特别会议,安排的时间与管理委员会薪酬政策的基本审查有关。经常性议程议题出席·管理委员会薪酬·监事会薪酬·短期和长期激励目标的最新业绩除了薪酬委员会成员外,薪酬委员会通常邀请首席执行官、执行副总裁、人力资源和福利主管,在某些情况下还邀请首席财务官出席(部分)会议。如有需要,薪酬委员会的外部顾问亦会获邀出席薪酬委员会的会议。


ASML 2021年年度报告155以下概述详细介绍了2021年薪酬委员会会议期间讨论的议题。Q1·短期激励计划:2020年业绩、2020年派息和2021年目标·长期激励计划:2018-2020年的股权归属业绩,有条件拨款和目标业绩期间2021-2023年·2020年薪酬报告·自我评估薪酬委员会·董事会薪酬政策审查·遴选外部薪酬顾问Q2·董事会薪酬政策审查Q3·管理层薪酬政策审查·包括劳动力市场参考小组的管理层薪酬政策审查·对新薪酬政策方向的反馈BoM·股权准则Q4·管理层薪酬政策审查·方法和规划利益相关者外联·更新短期激励计划和长期激励计划·2021年薪酬报告草案·合规管理层成员持股指南·年度股东大会期间2022-2023年·2021年管理委员会薪酬委员会商定程序外聘审计师,薪酬委员会建议对管理委员会和监事会的薪酬政策作出某些调整。调整后的薪酬政策于2021年4月29日提交大会并获得通过。从2021年第二季度开始,薪酬委员会对管理委员会的薪酬政策进行了根本审查。这项审查原计划在2020年进行,但由于新冠肺炎疫情而推迟。关于管理委员会薪酬政策的根本审查的更多信息,请参阅薪酬报告,这也是本2021年年度报告的一部分,以及我们打算在2022年3月公布的2022年年度股东大会的召集文件。薪酬委员会就管理委员会的总薪酬方案以及由短期现金激励和长期股票激励组成的可变薪酬向监事会提出了建议。薪酬委员会向监事会提出了管理委员会浮动薪酬的2021年目标。年内,薪酬委员会密切监察管理委员会的表现。它就2021年目标的实现情况和管理委员会成员的相关薪酬水平向监事会提出建议。在建议和评估管理委员会与管理委员会成员浮动薪酬的公司目标和目的相关的业绩时,薪酬委员会与审计委员会和技术委员会密切合作。薪酬委员会注意到管理委员会个别成员就其薪酬数额和结构提出的意见。薪酬委员会审查了管理委员会成员的持股情况,以评估管理委员会薪酬政策中所载股份所有权准则的遵守情况。薪酬委员会还编写了薪酬报告,其中详细说明了监事会和管理委员会成员的薪酬。


ASML 2021年年度报告156在2021年股东周年大会上,我们收到了股东和股东利益组织对薪酬报告的宝贵反馈,特别是如何进一步提高薪酬透明度。我们已经考虑到了这一反馈,因此,我们在2021年薪酬报告中实施了几项修改。例如,我们现在包括对可变薪酬的目标和实际业绩水平的事后披露(如果这不违反ASML的战略和/或商业利益)。请阅读本年度报告中包含的2021年薪酬报告。薪酬委员会聘请外聘审计员执行与执行管理委员会薪酬政策有关的某些商定程序。薪酬监事会在2021年第一季度,薪酬委员会完成了对监事会薪酬的基准审查。这导致对监事会和委员会的会费进行了一些调整。修订后的监事会薪酬政策纳入了这些调整,于2021年4月29日提交大会并获得通过。详情见《监事会-薪酬报告》。遴选和提名委员会遴选和提名委员会协助监事会履行其在监事会和管理委员会的组成和运作以及监测公司治理发展方面的职责。成员主要责任·Gerard Kleisterlee(主席)·Annet Aris·Mark Durcan·Terri Kelly·拟定监事会和管理委员会成员的遴选标准和任命程序,监督管理委员会有关高级管理层选拔和任命标准的政策;·定期评价管理委员会和监事会的范围和组成,并提出监事会概况;·定期评价管理委员会和监事会及其个别成员的运作情况。·拟定监事会关于任命和重新任命管理委员会成员以及提议(重新)任命监事会成员的决定·监测和讨论公司治理方面的事态发展。根据纳斯达克上市规则,每位成员均为我们监事会的独立非执行成员。推选和提名委员会会议推选和提名委员会每年至少举行两次会议,并在认为必要时更频繁地举行会议。2021年,推选提名委员会召开了9次会议。经常性议程议题出席·作用、组成、运作的管理委员会·作用、组成、运作的监事会·公司治理除遴选和提名委员会成员外,两位总裁和执行副总裁人力资源办公室定期受邀出席(部分)会议。如认为有需要,亦会邀请外聘顾问出席推选和提名委员会的会议。


ASML 2021年年度报告157以下概述详细介绍了2021年薪酬委员会会议期间讨论的议题。上半年·组成管理委员会,包括多样性方面,以及继任管道·组成监事会,包括。2021年委员会的继任和组成·2022年和2023年年度股东大会监事会组成的变化和监事会成员任命的提名·新任命监事会成员的上岗计划·下半年评价监事会和委员会·未来组成的管理委员会,包括多样性要求和继任流水线·2022年和2023年年度股东大会监事会组成变化·2022年年度股东大会监事会组成和监事会成员任命提名·2022年年度股东大会监事会委员会组成·监事会和委员会评估·公司治理更新:荷兰性别多元化法案·董事会组成优先股基金会2021年1月1日,遴选和提名委员会花了大量时间讨论管理委员会未来的组成、角色和责任,例如审查人才长凳,讨论顶尖人才的职业发展,为未来的管理委员会角色做准备。委员会还评估了管理委员会及其个别成员的运作情况。为此目的,主席与管理委员会的每个成员举行了会议,并与委员会讨论了会议结果。Frits van Hout在他的任期结束后退休,他的任期将于2021年年度股东大会结束。ASML没有任命Frits van Hout的继任者。因此,管理委员会由五名成员组成,从2021年年度大会开始生效。Frits van Hout的职责已由剩余的管理委员会成员接管,以确保ASML战略的不间断执行,以实现其为利益相关者设定的目标。根据2022年年度股东大会,温宁克先生、范登布林克先生、达森先生、福奎先生和施奈德-毛努里先生的任期将届满。有鉴于此,遴选和提名委员会和监事会正在彼此之间以及与管理委员会的个别成员广泛讨论是否有可能延长到2022年年度大会生效的任命期。监事会的组成、作用和职责推选提名委员会对监事会的组成进行了广泛的讨论。2021年监事会的变动根据遴选和提名委员会的建议,监事会决定在2021年对其委员会的组成进行若干变化。Carla Smitts-Nustling退休后,Rolf-Dieter Schwalb成为审计委员会主席,Birgit CONIX成为审计委员会成员。特里·凯利接任薪酬委员会主席,安妮特·阿里斯作为正式成员加入薪酬委员会。马克·杜尔坎被任命为技术委员会主席,接替道格拉斯·格罗斯退休。道格拉斯·格罗斯退休后,马克·杜尔坎和特里·凯利加入了遴选和提名委员会。最后,安妮特·阿里斯被任命为监事会副主席。遴选和提名委员会还讨论了在2022年年度股东大会上生效的其组成的变化,并就任命Hans Stork的继任者的提名向监事会提供建议,Hans Stork将在我们的监事会服务八年后退休。详情见监事会--监事会报告--组成。会议花了大量时间讨论监事会的概况和轮换时间表,特别是关于任命和重新任命监事会成员以填补短期和长期空缺的问题。因此,建议监事会提名比尔吉特·康尼克斯为监事会成员,自2021年年度大会起生效。遴选和提名委员会还根据退休和新任命讨论了监事会委员会的组成,并提出了几项变动,这些变动将在2021年年度股东大会上生效。


ASML 2021年年度报告158在2021年底和2022年初,遴选和提名委员会讨论了监事会个别成员的职能以及监事会自我评价的过程和结果。有关自我评估的更多细节,请参见监事会-监事会报告-评估。公司治理作为监测公司治理发展的责任的一部分,遴选和提名委员会讨论了荷兰议会2021年9月28日通过的荷兰性别多样性法案的发展及其对ASML的影响等问题。对投资者和股东利益组织关注的事项也进行了讨论。技术委员会技术委员会就执行业务战略所需的技术计划向监事会提供建议。成员主要职责·Mark Durcan(主席)·Annet Aris·Gerard Kleisterlee·Hans Stork·就技术趋势、潜在替代战略研究、技术战略、产品路线图、所需技术资源和研发中的运营表现提供建议;·就ASML的竞争地位向监事会提出建议;·讨论为衡量短期和长期绩效设定的技术目标以及与这些目标相关的成就,并就此问题向薪酬委员会提供建议。技术委员会得到外部专家和来自ASML内部的专家的支持,他们在该委员会审查和讨论的议题上担任顾问。外部专家可能包括客户、供应商和合作伙伴的代表,以增加委员会对开发我们的尖端系统所需的技术和研究的了解。2021年技术委员会会议一般情况下,技术委员会每年至少召开两次会议,并在认为必要时更频繁地举行会议。2021年,技术委员会召开了五次会议。经常性议程项目出席·产品路线图·进步技术领导指数除技术委员会成员外,委员会的外部和内部顾问定期出席委员会会议。顾问们没有投票权。除经常性议程项目外,技术委员会还在2021年审查和讨论了其他事项。下表概述了这些主题。Q1·业务线审查:应用·审查自我评估技术委员会Q2·业务线审查:EUV(包括High-NA)Q3·业务线审查:DUV·摩尔定律的未来·逻辑和记忆Q4的路线图·EUV(包括High-NA)的路线图现状和挑战·DUV的路线图和挑战·中长期路线图和技术展望审查2021年,技术委员会主要专注于审查EUV 0.55 NA(High-NA)、EUV 0.33 NA、DUV及其应用。在这方面,从商业角度和技术角度审查和深入讨论了关键的挑战和机遇。在每次会议期间,技术委员会还讨论了技术领导指数所列技术目标的进展情况,技术领导指数是管理委员会短期和长期浮动薪酬的业绩衡量标准。在专门为此目的计划的一次会议上,技术委员会讨论了关于技术指标的最后成果和新业绩期间的技术指标。技术委员会随后向薪酬委员会和监事会提供了咨询意见。


ASML 2021年年度报告159第一季度的会议致力于讨论业务线应用程序方面的成就。向技术委员会通报了对2026年的展望、市场发展、竞争格局和这方面的机会。此外,还提供了关于计算光刻、光学计量、电子束计量和控制以及数据产品的最新情况。在这次会议上,技术委员会还讨论了对技术委员会运作情况进行外部评价的结果。在第二季度,讨论了EUV 0.33 NA和EUV 0.55 NA(High-NA)的成就和挑战。特别关注了EUV 0.33 NA的市场发展和性能,以及产品和动力路线图。在High-NA上,向技术委员会通报了客户对High-NA的兴趣和参与度、发货计划的状况和价值主张。在这次会议期间进行了现场直播,向技术委员会提供了威尔顿和圣地亚哥ASML生产设施的虚拟参观。此外,ZEISS还提供了其在德国奥伯科兴的设施的虚拟参观。技术委员会第三季度会议的主要焦点是DUV的发展和成就。在产品路线图和技术计划的旁边,技术委员会讨论了ASML及其供应链提高产能以满足客户需求的可能性,继续创新以支持我们客户的路线图和经济性,以及对效率和质量的推动。此外,来自IMEC的外部发言者被邀请向技术委员会通报他们对摩尔定律的未来以及逻辑和记忆路线图的看法。第四季度,技术委员会将重点放在路线图的现状和与EUV 0.55 NA(High-NA)、EUV 0.33 NA和DUV相关的挑战上。此外,技术委员会还展望了中长期路线图和技术前景。来自ZEISS管理层的代表出席了第四季度技术委员会会议,讨论了与产品和技术路线图相关的合作和共同挑战。第四季度技术委员会会议在德国奥伯科兴的ZEISS举行。在访问期间,技术委员会和ZEISS的一个代表团讨论了两家公司之间的合作,也参考了2021年达成的新框架协议。他们还讨论了各种产品路线图的现状和相关挑战。技术委员会还参观了ZEISS制造工厂,见证了第一个EUV 0.55 NA系统的组装准备工作取得的巨大成就。技术委员会深入的技术讨论以及随后在全体监事会中就这些讨论的要点进行的报告增加了监事会对我们技术要求的了解。它还使监事会能够充分监督我们面临的战略选择,包括我们在研发方面的投资。ASML的财务报表和利润分配由管理委员会编制,已由毕马威会计师事务所审计。管理委员会和监事会的所有成员都签署了这些财务报表。我们建议股东采纳2021年的财务报表。我们还建议我们的股东采纳管理委员会的建议,支付每股普通股3.70欧元的末期股息,加上每股普通股1.80欧元的中期股息,2021财政年度的总股息为每股普通股5.50欧元。最后,我们要对管理委员会和所有ASML员工表示感谢,感谢他们在这充满挑战的一年里继续致力于工作和辛勤工作。监事会,Gerard Kleisterlee主席Annet Aris,副主席Birgit CONIX Marc Durcan Warren East Terri Kelly Rolf-Dieter Schwalb Hans Stork Veldhoven,2022年2月9日


ASML年度报告2021年160薪酬委员会主席Terri Kelly(薪酬委员会主席)新冠肺炎大流行之际,我们高兴地看到ASML在一个非常动态的环境中取得了出色的表现。半导体终端市场的强劲增长和不断提高的光刻强度以满足对更多晶片产量的需求,导致对ASML产品和服务的巨大需求。为了满足当前和未来的客户需求,ASML及其供应链合作伙伴正在积极增加和提高产能。此外,在当前竞争激烈的市场中,加大招聘和留住ASML员工的力度已变得越来越具有挑战性。总体而言,从高标准开始,ASML的领导层制定了雄心勃勃的目标,并能够解决和应对许多挑战。监事会非常支持ASML的长期战略,并为管理委员会和整个组织所取得的成就感到自豪。2021年薪酬委员会的变化2021年,Annet Aris成为薪酬委员会成员,我对在2021年年度股东大会后接替Rolf-Dieter Schwalb担任主席感到荣幸。薪酬委员会的组成提供了适当的平衡,从地理和历史的角度来看,都有非常不同的观点。对我来说,这是一个很好的机会,因为我们正在深入研究管理委员会的薪酬政策,评估哪些方面运行得很好,并看看我们还可以改进的地方。我们还依赖外部专家帮助我们了解与其他同行组织的最佳实践,以及我们许多成员不断变化的期望。2021年决定2021年第一季度,我们完成了对管理委员会和监事会薪酬政策的审查。根据对劳动力市场参考小组的两年一次的审查结果和2020年期间执行的薪酬基准,监事会的结论是,适当略微调整管理委员会的薪酬政策,以保持相对于参考市场的有竞争力的薪酬水平,在参考市场中,ASML的规模和复杂性再次大幅增长。修订监事会薪酬政策需要修改监事会和各委员会的会费,以保持竞争力,并继续吸引和留住合格的监事会成员。尊敬的利益相关者,我很高兴代表薪酬委员会提交2021年薪酬报告,总结了管理委员会和监事会的薪酬政策,并解释了这些政策在2021年的实施情况。为了保持快速的创新步伐,并确保作为一家公司的长期成功,ASML需要吸引和留住最优秀的人才。薪酬是一个重要的因素,但不是唯一的因素--我坚信,人们的动机也不止于此。我们有一个伟大的故事,ASML的全球影响仍在增长,与才华横溢的同事在技术前沿合作也是非常有益的-我们提供了一种工作文化,使人们能够发展他们的才华,感受到尊重,并尽其所能地工作。在展示ASML的文化价值并使其更加明确方面,已经做了很多伟大的工作。在努力在保护我们的竞争地位和提供透明度之间保持良好平衡的同时,我们不断寻找机会,在我们如何奖励我们的领导者和更广泛的组织时加强这些价值观,以推动ASML的长期成功。2021年业绩摘要回顾2021年,所有人都认为这不是轻松的一年,因为


ASML向2021年年度股东大会提交了2021年年度报告161,并以90%以上的支持率通过。为了在2021年提高我们薪酬政策的透明度,我们与治理组织、代理顾问、个人股东和ASML的劳资委员会进行了多次互动。这些互动与上文提到的修订管理委员会和监事会的薪酬政策以及2020年薪酬报告有关。讨论涉及三个主题:i)目标设定和实际成绩的透明度;ii)酌情调整ROAIC分数,作为长期激励总体成绩分数的一部分;iii)与可持续性有关的业绩衡量标准。最后,与我们的利益攸关方就管理委员会的总体薪酬政策、薪酬与公司战略和业绩之间的联系、薪酬结构以及短期和长期激励的绩效指标交换了意见。讨论非常有建设性,我们收到了关于如何进一步提高薪酬报告透明度的宝贵反馈和建议。这份薪酬报告已经考虑到了这一反馈。2021年第二季度开始的对管理委员会薪酬政策的基本审查也考虑到了利益攸关方的反馈。在这方面,与可持续性有关的绩效指标得到了广泛讨论,特别是其权重,以及如何最好地界定绩效指标并将其与2021年修订的ASML的ESG战略联系起来。展望2022年,从2021年第二季度开始,薪酬委员会对管理委员会的薪酬政策进行了根本性审查-这一审查原计划在2020年进行,但因新冠肺炎疫情而推迟。审查的重点是薪酬结构和要素,以及劳动力市场参考小组。我们认为进行一次根本性的审查是适当的,因为对该政策的上一次结构修订是在2017年进行的,自那时以来,只通过调整薪酬水平(主要是STI和LTI)来实施微小的修订,以保持竞争力。五年后,是时候做一次更根本的审查了,看看这项政策是否对公司的战略方向提供了最佳支持。这也是一个回顾当前市场实践、社会趋势和预期以及公司治理发展的时刻。根据这一基本审查的结果,我们打算在2022年的年度股东大会上提交一份关于修订管理委员会薪酬政策的提案。主要变化涉及修订的劳动力市场参考小组和薪酬结构,以及调整后的STI和LTI业绩指标。在对管理委员会薪酬政策进行基本审查期间,我们继续与管理委员会进行对话,以听取他们的看法和反馈意见。薪酬委员会和ASML领导层之间的密切合作是我们的首要任务,以建立信心,使我们相信我们正在衡量重要的事情,我们正在将自己与正确的公司进行比较,我们正在设定雄心勃勃但现实的目标。我们亦正与劳资委员会、管治组织、代理顾问和我们的大股东就管理层薪酬政策的拟议改变进行对话。关于这些利益攸关方参与的更多信息将列入2022年年度股东大会的召集文件。为了对管理委员会的薪酬政策进行根本审查,我们聘请了一位外部薪酬专家,引入了一双新的眼睛来挑战我们,并与我们分享他们在管理人员、风险和资本领域的经验。关于经修订的管理委员会薪酬政策的完整提案将列入2022年年度股东大会的召集文件,预计将于2022年3月公布。尚未对监事会薪酬政策进行根本性审查,因为监事会薪酬政策相对较新,是根据新的法律要求于2020年推出的。我要感谢我们的股东和其他利益相关者的参与,并分享了他们对高管薪酬的看法。我们欢迎利益相关者就这份2021年薪酬报告提出反馈意见,该报告将于2022年4月29日提交给股东进行咨询投票。此外,我们希望我们的股东将支持2022年管理委员会薪酬政策,我们打算在2022年年度股东大会上提交通过该政策。特里·凯利,薪酬委员会主席


ASML年度报告2021年162薪酬报告40内部薪酬比率(首席执行官与每个全职员工的平均薪酬比率)180.3%实现目标的134.5%管理委员会1970万欧元的总薪酬这份报告描述了管理委员会和监事会的薪酬政策在2021年是如何实施的。管理委员会薪酬在薪酬报告的这一部分中,我们概述了2021年管理委员会薪酬政策,该政策于2021年4月29日由大会通过,并于2021年1月1日起实施。报告还载有管理委员会2021年薪酬政策的执行情况,以及管理委员会成员2021年财政年度的实际薪酬细节。管理委员会2021年薪酬政策可以在我们网站的治理部分找到。薪酬政策薪酬作为战略工具2021年管理委员会薪酬政策支持ASML在高度动态的环境中的长期发展和战略,同时旨在满足所有利益相关者的要求并保持可接受的风险状况。ASML面临的挑战比以往任何时候都更多地是推动技术、服务客户和满足利益相关者。这些驱动因素嵌入到ASML及其附属企业的身份、使命和价值观中,是政策的支柱。监事会确保该政策及其执行与ASML的目标相联系。2021年管理委员会薪酬政策旨在使ASML能够为管理委员会吸引、激励和留住合格的行业专业人员,以确定和实现我们的战略目标。该政策承认内部和外部环境,以及我们的业务需求和长期战略。该政策鼓励注重长期价值创造以及ASML的长期利益和可持续性的行为,同时采用良好的公司治理的最高标准。它的目的是利用非财务和财务业绩衡量标准相结合的方式,激励取得杰出成就。技术领先、客户价值创造和员工敬业度是为我们的股东带来可持续回报的关键驱动力。薪酬原则ASML对所有员工适用的薪酬理念包括这样的原则,即ASML希望在其相关劳动力市场具有竞争力,并在此类市场上公平支付薪酬,同时保持内部一致性,以反映个别工作的规模和复杂性的差异。监事会对ASML的管理委员会适用同样的原则,并在制定薪酬政策时考虑到ASML雇员的薪酬和雇用条件。2021年管理委员会薪酬政策建立在以下原则的基础上:·透明--政策及其执行是明确和实际的;·一致--政策与针对ASML高级管理人员和其他ASML员工的短期激励和/或长期激励政策保持一致;·长期--激励侧重于长期价值创造;·合规--ASML采用良好公司治理的最高标准;以及·简单--政策及其执行尽可能简单,所有利益攸关方都很容易理解。参考群体和市场定位与所有ASML员工的薪酬理念类似,我们向管理委员会提供与相关劳动力市场相比具有竞争力的薪酬方案。这个市场是通过创建一个在规模和复杂性、数据透明度和数据透明度方面可与ASML媲美的公司参考集团来定义的


ASML 2021年年度报告163地理区域。只要ASML在公司规模(以企业价值、收入和雇员人数衡量)和复杂性方面定位在参照组的中位数附近,中位数市场水平就可以作为确定管理委员会薪酬水平的参考点。原则上,每两年进行一次基准测试。为了确保相关劳动力市场的适当组成,监事会在进行基准时审查参考小组的组成。将向股东建议对参照组的组成进行重大修改。在没有市场评估的年度,监事会根据市场环境以及其他ASML员工的工资调整来考虑基本工资的任何变化是否适当。2020年,我们审查了参照组,并执行了薪酬基准。参考小组(由20家公司组成)自2018年以来没有变化,而ASML则有了相当大的增长。2020年参考小组审查的结果是,由于ASML的发展,一家参考公司Smith&Nephew PLC与ASML相比变得太小,因此被取消。另外两家公司夏尔公司(Shire PLC)和林德股份公司(Linde AG)被撤职,因为它们被欧洲以外的公司收购或合并,因此不再有资格成为参考公司。为了保持参照组的规模大致相同,参照组增加了两家新公司:恩智浦半导体,它是ASML的行业同行;爱立信,其平均规模大于ASML,因此使ASML在规模上更接近参照组的中位数。在修订的参考组中,ASML的规模(基于2019年数据)最终略高于中位数(第55个百分位数)。对参照小组和相应基准的2020年审查是对管理委员会2021年薪酬政策进行调整的基础。目前参考集团组成阿克苏诺贝尔Legrand Alstom Leonardo-Finmeccanica大陆诺基亚Covestro恩智浦半导体DSM飞利浦爱立信SAP Essilux(前Essilor)迅达Evonik Solvay Givaudan Yara International Infineon Technologies总直接薪酬薪酬水平采用直接薪酬总额确定。直接薪酬总额包括固定基本工资和短期激励和长期激励形式的可变薪酬。其他薪酬要素是养恤金和费用偿还。可变薪酬业绩参数由监事会制定,包括财务和质量措施,以便在各种公司目标之间实现最佳平衡,无论是短期目标还是长期目标。通过这样做,确保可变薪酬有助于ASML的战略、长期利益和可持续性。如果任何一年的战略优先事项发生变化,监事会可根据《2021年管理委员会薪酬政策》中概述的规则和原则,调整业绩计量及其在可变收入中的相对权重。监事会可运用其酌情决定权,向上或向下调整奖励支出(“最后通牒”)。作为2021年年度股东大会核准的《管理委员会薪酬政策》修订的一部分,对目标直接薪酬总额进行了调整,使其更接近修订后的参考小组的直接薪酬总额中值水平。为此,将长期奖励的目标水平从110%(主席)或100%(管理委员会其他成员)提高到管理委员会所有成员的120%。下表列出了在实现目标业绩的情况下,管理委员会浮动薪酬占基本工资的百分比。可变薪酬浮动薪酬占基本工资的百分比短期激励80%长期激励120%合计200%


2021年ASML年度报告164 2021年薪酬政策管理委员会摘要2021年管理委员会薪酬政策的要素及其与ASML战略的联系概述如下。S在ION Pol y Component的工作内容链接到公司战略政策摘要基本工资(综合现金薪酬)为管理委员会吸引、激励和留住合格的行业专业人员,以降低和实现战略目标。·来自全部直接薪酬·由监事会股份所有权指导方针确定的管理委员会成员最低股份拥有量要求。确保管理委员会成员的利益与ASML的长期价值创造保持一致。·总裁3倍的年度基本工资,其他董事会成员2倍的年度基本工资·新成员的3年期限·监事会有权在特殊情况下允许临时偏离·任何短缺将通过下一次授予股份和其他薪酬来弥补·基于荷兰ASML员工的“额外”(补充)安排的养老金安排--缴费计划·费用补偿,如公司汽车费用、差旅费用、代表津贴、住房费用(税前毛额)、社会保障费用,健康和伤残保险费用有助于提高整体薪酬方案的竞争力,并与市场惯例保持一致。长期激励(LTI)·与有资格获得绩效股票的ASML员工的LTI保持一致-通过使用相同的绩效衡量标准,利用平衡ASML投资者的直接利益、ASML的长期财务成功、技术进步的长期持续以及可持续性的环境和社会层面的绩效衡量标准,为ASML的战略、长期利益和可持续性做出贡献。(基于长期绩效的股权激励)·目标水平:基本工资的120%·绩效衡量标准(每年设定,3年内评估)ROAIC总股东回报(TSR)与指数技术领先指数可持续性权重40%30%20%10%·支付水平TSR与指数(TSR ASML-TSR PHLX指数(X.SOX))大于或等于20%0至20%-20至0%小于或等于目标的-20%200%100-200%50-100%0%·支付水平ROAIC,技术领先指数,可持续性最大目标阈值以下阈值和目标之间的线性支付,以及目标与目标的最大百分比之间200%100%50%0%目标150%100%50%0%短期激励(STI)·目标水平:基本工资的80%·绩效指标(原则上设定并每年评估)·支付水平·与STI保持一致适用于ASML员工(荷兰员工受CLA约束,并有自己的保护共享计划)定性:技术领先指数定性:市场地位财务指标,同等权重,原则上从预选列表中选择:阅读更多:https://www.asml.com/rempolicy_born权重20%20%60%门槛以下最大目标门槛和目标之间以及目标和最大门槛之间确保平衡地关注阿斯利康的短期(财务)表现,以及在技术进步和客户满意度方面的可持续公司未来,推动长期成功。(短期业绩相关现金激励)


ASML 2021年年度报告165管理委员会2021年的薪酬管理委员会2021年财政年度的薪酬是执行和遵守2021年管理委员会薪酬政策,详情如下。因此,管理委员会2021年的薪酬有助于实现《2021年管理委员会薪酬政策》的目标,并因此促进了本组织旨在创造长期价值的战略。对可变薪酬构成部分的可能结果及其对管理委员会薪酬的影响进行了情景分析。基薪管理委员会成员的基薪于2021年初确定。监事会决定,与2020年的水平相比,2021年的基本工资不会增加。维持基本工资水平不变的原因是2021年修订了薪酬政策,其中包括提高长期奖励的目标水平,从而增加直接薪酬总额。关于2021年的基本工资水平,请参阅管理委员会总薪酬一节。短期激励科技创新的财务和非财务目标水平是根据《2021年管理委员会薪酬政策》并考虑到2021年年度计划(预测)在2021年财政年度开始时确定的。对于STI,2021年应用了以下定性绩效指标:·市场地位,衡量ASML在市场上的表现,不仅是市场份额,还包括客户满意度和质量。市场地位指标由几个子指标组成。对于应用和DUV业务,设定了市场份额目标。这些目标涉及ASML面临激烈竞争的某些应用和DUV市场。对于EUV,没有设定市场份额目标,因为ASML是EUV技术的唯一供应商。相反,使用了与NXE:3400工具的可用性相关的目标,因为可用性是反映我们在客户现场的工具性能质量的关键指标,因此监事会认为它是衡量客户满意度的适当指标。总体客户满意度也是市场地位指标的一部分,并使用外部基准:VLSI调查进行衡量。应用程序和DUV市场份额指标以及EUV可用性指标合计占市场地位指标总权重的50%。VLSI的调查结果占市场头寸目标的剩余50%。·技术领先指数,一套与ASML的产品和技术路线图相关的内部目标。因此,它衡量ASML在相关绩效期间取得的技术进步,支持我们推动创新的努力,从而帮助我们的客户实现目标并实现新技术和应用。2021年技术领先指数由应用、DUV和EUV领域的17个关键项目组成。例如,这些项目涉及检查和计量系统的改进、以每天晶圆数表示的制造能力、降低成本的部件通用性、(EUV)光源的功率等。由于从竞争和战略的角度来看,这将对公司及其利益相关者不利,因此没有披露包括在技术领导力指数中的关键项目的确切细节。为了计算技术领导力指数绩效,每个项目的得分在1到10之间;总体技术领导力指数得分是17个个人得分的平均值。STI和LTI都使用技术领导力指数作为定性的绩效衡量标准。两者的目标是相同的,但适用的措施、目标和业绩周期不同,并与具体的短期和长期战略优先事项保持一致。除了技术领先指数和市场地位业绩指标外,2021年STI还选择了三项财务业绩指标。根据ASML在2021年的业务挑战和情况,监事会从包括在2021年管理委员会薪酬政策中的预定义清单中选择了以下三项财务指标:·息税前利润%,以净销售额总额的百分比衡量运营收入·EUV毛利率%,以EUV总净销售额的百分比衡量毛利润·自由现金流,衡量经营活动减去购买物业、厂房和设备以及购买无形资产后的现金流量。在业绩期间结束后,监事会与相关的小组委员会、技术委员会、审计委员会和薪酬委员会合作,对照目标评估了所实现的业绩。下表列出了STI业绩标准的目标和实际实现水平,但符合商业或战略敏感信息的数字除外,这些数字是与可获得性和市场份额相关的市场地位指标要素有关的数字。监事会认为披露这一信息不符合反洗钱法及其利益攸关方的利益。考虑到透明度,我们以目标百分比的形式报告这些指标的绩效。


ASML年度报告2021年166业绩指标业绩目标2实际结果支出4权重阈值目标伸展%目标市场地位可用性和市场份额10%*122.5%超大规模集成电路调查10%前5名前3名前2名150.0%总市场地位20%136.3%技术领先指数20%4 6 10 8 125.0%息税前利润(%)3 20%24%27%30%36%150.0%EUV毛利率%20%43.5%45.5%47.5%46%111.3%自由现金流(欧元,120%1,000,000,000 8,158 150.0%总计100%134.5%1.自由现金流目标水平和实际情况不包括本财政年度从2021年没有合同付款义务的客户那里收到的早期付款。实际结果自由现金流(非GAAP衡量标准)的计算方法是经营活动产生的现金流量108.46亿欧元减去购买物业、厂房和设备9.01亿欧元,减去无形资产购买量3960万欧元,减去本财政年度从没有合同付款义务的客户那里收到的前期付款17.47亿欧元,实际结果为81.58亿欧元。2.由于战略或商业敏感性,某些业绩目标(*)未予披露。3.实际结果息税前利润%(非公认会计准则衡量),计算方法为营业收入67.5亿欧元除以总净销售额186.11亿欧元,实际结果为36%。4.支出百分比是根据《2021年薪酬政策管理委员会摘要》一节所列的支出水平计算的。当前管理委员会的科学、技术和创新成果总额为440万欧元,相当于134.5%目标的百分比。息税前利润达到36%的实际业绩结果主要是由于销售额和盈利能力的增加。我们的EUV和DUV沉浸系统的盈利能力增加,因为我们为客户提供了更多价值。我们安装基础业务的盈利能力的提高是通过产量和规模经济的提升。自由现金流的实际业绩结果为81.58亿欧元,主要是由于我们的客户净收入增加和首付增加,经营活动提供了强劲的净现金。为了长期激励LTI,根据2021年管理委员会薪酬政策,以下业绩指标适用:·总股东回报与指数,衡量ASML股价的相对变化,加上在相关业绩期间支付的股息。ASML的总股东回报率与PHLX半导体行业指数进行了比较,PHLX半导体行业指数是一个纳斯达克指数,旨在跟踪一系列从事半导体设计、分销、制造和销售的公司的业绩。·平均投资资本回报率(ROAIC),衡量ASML投入运营的资本回报率,而不考虑我们的资本结构。它被用作衡量公司价值创造的基本指标。ROAIC的计算方法是将所得税后的收入除以平均投资资本。·技术领先指数,这是一种定性衡量标准,也适用于科技创新。关于技术领导力指数的定义以及它如何对公司战略做出贡献的解释,请参考短期激励一节。作为LTI衡量指标的技术领导力指数比STI的同类指标更具前瞻性。它包括未来三年、两年和来年要实现的目标。每年都会为未来三年确定新的目标。今后两年的目标是基于前一年的目标(当时提前三年)和分数的修正系数(向上或向下),这取决于目标似乎更容易实现还是更难实现。随后的几年也采用了同样的办法。技术领导力指数在三年绩效期间的总分是三年的平均分,包括对每一年的分数应用的相关修正系数。·可持续性,这是一种定性的衡量标准,通过将道琼斯可持续发展指数(DJSI)的年度综合结果与半导体行业的最佳业绩进行比较,来确定我们在可持续发展领域的表现。DJSI评估是一项综合评估,衡量我们在20多个ESG方面的表现。它使我们能够与我们的行业同行一起对我们公司在更广泛的ESG领域的表现进行基准测试,并推动不断改进。基础是我们的2019-2025年可持续发展战略,其中包含一套16项关键绩效指标和目标,我们通过全面的重要性评估和利益相关者持续参与的投入来定义这些指标和目标。更多内容请阅读:非财务报表-重要性评估。


ASML年度报告2021年167归属LTI 2019-2021年在2019-2021年三年绩效期间结束后,监事会与技术委员会、审计委员会和薪酬委员会合作,对照LTI目标评估了所实现的业绩。LTI业绩标准的目标和实际实现水平列于下表。监事会对与2019-2021年LTI计划的ROAIC业绩指标相关的支出进行了调整,以使业绩指标与2021-2023年计划和先前调整的2018-2020年计划使用的指标保持一致。这项调整导致业绩指标ROAIC的派息为193.3%,而未经调整的伸展业绩(200%)则为200%,因此对2019年至2021年LTI计划的结果不利。这一调整没有递增的会计影响,因为费用是根据最大伸展业绩确认的。下表列出了2019-2021年LTI业绩标准的目标和实现水平。绩效指标绩效目标实际绩效支出%2权重阈值目标超出扩展相对TSR 30%(20)%0%n/a 20%161.1%200.0 ROAIC 1 40%27.0%29.5%32.0%34.5%34.2%193.3%技术领先指标20%4 6 8 10 8.3 157.5%可持续性10%≤16%≤13%n/a≤7%12.1%115.2%总计100%180.3%1。实际绩效得分ROAIC为34.2%是标准化分数。ROAIC的计算方法是将所得税后的收入除以平均投资资本。2.支出百分比是根据《2021年薪酬政策管理委员会摘要》一节所列的支出水平计算的。3.实际绩效总分为180.3%,是根据各项绩效指标的权重乘以支出百分比得出的。LTI的总结果导致目标的180.3%的股份归属。2021年初,有条件地为2021年业绩计划向管理委员会现任成员授予28,354股业绩股票。这些有条件的赠款是基于可实现的最大机会。与LTI绩效衡量ROAIC、技术领先指数和可持续发展相关的目标水平于2021年初为2021-2023年的绩效时期设定。这样做时考虑到了长期产品路线图、可持续发展目标和长期财务计划,从而确保各种目标与ASML的长期战略优先事项保持一致,并鼓励注重长期价值创造的行为。在2021年的其他薪酬中,管理委员会成员参与了管理委员会的养老金安排,该安排基于我们在荷兰的员工的‘额外’(补充)安排,这是荷兰财政法规定义的固定缴款机会。它由总养恤金部分(工资低于约112,000欧元)和净养恤金部分(工资超过112,000欧元)组成。由于荷兰以外的国家对此有不同的税收处理,一些成员选择不计入养恤金净额。与2021年实施养恤金安排有关的已发生会计费用的详细情况见管理委员会薪酬总额表。ASML在2021年报销的费用包括公司汽车费用、代表津贴、社会保障费用以及健康和残疾保险费用。


ASML年度报告2021年168股权指导方针下表显示了截至2021年12月31日每个管理委员会成员的股权要求、已发行既得股数量和股权比例。BOM成员所有权要求2021年基本工资(以千欧元为单位)温林克3x基数1,020 32,485 22.5 M.A.范·登布林克3x基数1,020 13,066 9.1 F.J.M.施耐德-莫努里2x基数694 17,506 17.8 R.J.M.达森2 2x基数694 1,613 1.6 C.D.福奎2x基数694 3,488 3.6 1。所有权比率的计算方法为:将总既得股份数乘以股价706.70欧元(以2021年12月31日的收盘价为基础),再除以基本工资。2.截至2021年12月31日,RJ.M.Dassen的所有权比例低于内部所有权要求。薪酬委员会决定在2021年12月31日对股份所有权指引的合规性进行评估时,考虑2022年1月的股份归属。由于2019-2021年计划于2022年1月1日归属,这导致归属股份总数远远超过所有权要求。管理委员会的总薪酬管理委员会成员的薪酬以2021年发生的会计费用为基础,2020年和2019年:管理委员会财务年度基本工资养老金其他福利总固定百分比固定STI LTI总可变百分比可变总薪酬相对比例固定与可变P.T.F.M.2021 1,020 206 57 1,283 26.6%1,098 2,439 3,537 73.4%4,820 0.36 2020 1,020 216 57 1,293 28.3%1,135 2,136 3,271 71.7%4,564 0.40 2019 1,000 207 53 1,260 28.9%1,070 2,031 3,101 71.1%4,361 0.41 M.A.范·登布林克2021 1,020 206 56 1,282 26.6%1,098 2,439 3,537 73.4%4,819 0.36 2020 1,135 2,136 3,271 71.7%4,564 0.40 2019 1,207 52 1,259 28.9%1,070 2,031 3,101 71.1%4,360 0.41 F.J.M.施耐德-莫努里2021 694 115 36 845 26.8%747 1,566 2,313 73.2%3,158 0.37 2020 694 122 36 852 29.1%773 1,302,075 70.9%2,927 0.41 2019 680 114 824 30.3%728 1,172 1,900 69.7%2,724 0.43 R.J.M.达森2021 694 115 51 860 22.6%747 2,193 2,940 77.4%3,800 0.29 2020 694 100 51 845 22.2%773 2,186 2,959 77.8%3,804 0.29 2019 680 93 47 820 27.7%728 1,408 2,136 72.3%2,956 0.38 C.D.傅奎2021 678 52 824 26.3%747 1,566 2,313 73.7%3,137 0.36 2020 694 83 51 828.8%773 1,374 2,72.2%2,975 0.39 2019年680 74 47 801 36.4%728 674 1,402 63.6%2,203 0.57董事会总数2021 4,122 720 252 5,094 25.8%4,437 10,203 14,640 74.2%19,734 0.35 2020 4,122 737 252 5,111 27.1%4,589 9,134 13,723 72.9%18,834 0.37 2019 4,040 695 229 4,964 29.9%4,324 7,316 11,640 70.1%16,604 0.43薪酬乃根据欧盟国际财务报告准则(股份奖励)呈报。股份奖励的成本在三年归属期间内按预期授予非市场基础要素的奖励数量计入综合经营报表。在头两年,我们采用可实现的最大股票奖励数量,并在奖励的最后业绩年度,将非市场业绩条件的估计更新为预期授予的最佳估计金额。基于可实现股份奖励数目的最佳估计的金额与基于归属的实际股份奖励数量的金额之间的任何差额,在股份奖励归属的财政年度的综合经营报表中计入。以市场为基础的要素按目标核算。前管理委员会成员F.J.范·霍特自2021年从公司退休以来,不再是管理委员会的成员。前管理委员会财政年度基本薪金退休金其他福利固定百分比固定薪酬总变动百分比变动薪酬相对比例1 2021 231 47 16 294 11.4%243 2,036 2,279 88.6%2,573 0.13 2020 694 122 47 863 29.4%773 1,302 2,075 70.6%2,938 0.42 2019 680 44 838 30.6%728 1,172 1,900 69.4%2,738 0.44 1.范胡特2021年薪酬总额不包括估计金额8欧元。8百万欧元,用于支付本公司根据荷兰工资税法第32bb条应向荷兰税务机关缴纳的解雇福利税款。


ASML 2021年年度报告169范·胡特先生的2021年STI是根据2021年提供的服务天数按比例计算的。Van Hout先生将继续享有根据LTI计划于2018年、2019年及2020年授予的业绩股份,该等股份将根据授出函件所述的相关业绩标准授予。2021-2023年LTI计划的赠款根据2021年提供的服务天数按比例分配。正在运行的LTI计划的所有LTI费用在2021年入账,因为在2021年服务期结束后不提供任何服务。披露的薪酬总额不包括估计金额880万欧元,以计入本公司根据荷兰工资税法第32bb条应向荷兰税务机关缴纳的解雇福利税款。2021年财政年度,范·胡特先生的薪酬支出总额为1140万欧元,其中包括这项税收。基于股份的薪酬基于业绩的基于股份的薪酬管理委员会现任成员的披露如下表所示。基于市场的要素非基于市场的要素管理委员会授予日期状态授予日按目标公允价值计算的股份数量授予日按目标公允价值计算的股份总数授予日的目标股份总数最大股份数(200%)归属日期的股份数归属年度的股票年终价格锁定终止日期P.T.F.M.Wennink 1/22/21条件编号1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 n/a 1/1/26 1/24 n/a 1/1/26 1/24条件编号1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 n/a n/a 1/1/25 7/19/19无条件编号2,217 245.4 5,173 194.4 7,390 14,780 1/1/22 13,326 706.7 1/24 1/19/18无条件编号1,958 215.1 4,570 162.8 6,528 13,056 1/19/21 9,566 439.9 1/19/23 1/20/17无条件编号3,037 145.4 7,085 110.5 10,122 20,243 1/1/20 16,733 263.7 1/122 M.A.范登布林克1/22/21条件编号1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 n/a 1/1/26 1/24 n/20条件编号1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 n/a n/a 1/1/25 7/19/19无条件编号2,217 245.4 5 173 194.4 7,390 14,780 1/1/22 13,326 706.7 1/1/24 1/19/18无条件编号1,958 215.1 4,570 1628 6,528 13,056 1/19/21 9,566 439.9 1/19/23 1/20/17无条件编号3,037 145.4 7,085 110.5 10,122 20,243 1/1/20 16,733 263.7 1/1/22 F.J.M.施耐德-莫努里1/22/21条件编号717 635.6 1,670 454.9 2,387 4,774 1/1/24 n/a n/a 1/1/26 1/24/20条件编号858 286.9 2,001 263.7 2,859 5,718 1/1/23 n/a 11/25 7/19/19无条件编号1,371 245.4 3,198 194.4 4,569 9,137 1/1/22 8,239 706.7 1/1/24 1/19/18无条件编号1,125 215.1 2,626 162.8 3,751 7,502 1/19/21 5,496 439.9 1/19/23 1/20/17无条件编号1,745 145.4 4,070 110.5 5,815 11,629 1/1/20 9,613 263.7 1/1/22 R.J.M.达森1/22 635.6 1,670 454.9 2,3874,774 1/1/26 1/24不适用条件编号858 286.9 2,001 263.7 2,859 5,718 1/23不适用条件编号1,371 245.4 3,198 194.4 4,569 9,137 1/22 8,239 706.7 1/1/24 1/25/19无条件编号3,000 169.0 7,000 148.3 20,000 1/22 18,032 706.7 1/24 7/20/18无条件编号657274.6 1,531 185.0 2,188 4,376 1/19/21 3,207 439.9 1/19/23 C.D.傅奎1/22/21条件编号717 635.6 1,670 454.9 2,387 4,774 1/1/24 n/a 1/1/26 1/24 n/20条件编号858 286.9 2,001 263.7 2,859 5,718 1/1/23 n/a n/a 1/1/25 7/19/19无条件编号1,371 245.4 3,198 194.4 4,569 9,137 1/22 8,239 706.71/1/24 7/20/18无条件否844 274.6 1,969 185.0 2,813 5,626 1/19/21 4,122 439.9 1/19/23管理委员会前成员以业绩为基础的薪酬披露于下表。以市场为基础的要素非以市场为基础的要素前管理委员会授予日期状态授予日完全控制按目标公允价值计算的股份数量授予日按目标公允价值计算的股份总数最大股份(200%)归属日期股份数归属年度的股票年终价格锁定终止日期F.J.van Hout 1/22/21条件编号239 635.6 557 454.9 796 1,592 1/1/24 n/a n/a 1/1/26 1/24/20条件编号858286.9 2,001 263.7 2,859 5,718 1/25 7/19/19无条件编号1,371 245.4 3,198 194.4 4,569 9,137 1/1/22 8,239 706.7 1/1/24 1/19/18无条件编号1,125 215.1 2,626 162.8 3,751 7,501 1/19/21 5,496 439.9 1/19/23 1/20/17无条件编号1,745 145.4 4,070 110.5 5,815 11,629 1/20 9,613 263.7 1/1/22授予股份的标准和主要条件关于向管理委员会每位成员授予绩效股份的原因和标准,请参阅本薪酬报告中概述的2021年管理委员会薪酬政策和管理委员会2021年薪酬-长期激励一节。


ASML年度报告2021年170适用于2021年业绩股票的主要条件如下。这些规定适用于管理委员会的每一位成员。工具:绩效股份授予:基于最大可实现机会的年度有条件授予。有条件奖励的业绩股票数量是根据有条件奖励前一年最后一个季度的成交量加权平均股价计算的。授予日期:ASML年度业绩公布后两天,为期三年的业绩期间开始的年份的1月:三年,从授予归属年度的1月1日开始:股份将在三年业绩期限结束后的一年内无条件持有,具体取决于预定业绩目标的实现程度禁售期:最短持有期为归属日期后两年。合同终止后,转让限制将在持有期内继续有效,除非死亡。如果管理委员会成员就收回的可变收入支付税款,则绩效股票可根据法律和内部法规在归属时部分出售(“出售至覆盖”)。记账薪酬与公司业绩的关系下表概述了过去五年记账薪酬与公司业绩之间的关系:截至12月31日的年度(欧元,净销售额8,962,658 10,944,016 11,820,001 13,978,452 18,610,994基于美国GAAP 2,066,679 2,591,614 2,592,252 3,553,670 5,883,177基于欧盟-IFRS 2,173,400 2,525,515 2,581,107 3,696,813 6,134,895 ASML股价(以欧元为单位)145.2 137.2 263.7 397.6 706.7全职员工15,136 18,204 22,192 24,727 28,223薪酬P.T.M.Wennink(首席执行官)3,455,433,34,564 4,820薪酬3,433,34,5642,956 3,804 3,800薪酬F.J.范·胡特2,276 2,177 2,738 2,938 2,573薪酬C.D.福奎-1,125 2,203 2,975 3,137薪酬F.J.M.施耐德-毛努里2,260 2,169 2,724 2,927 3,158每FTE 2 117 115 114 120 122内部薪酬比率(首席执行官与员工薪酬)2 30 30 38 38 40 1.达森和C.D.福奎的薪酬在2018年较低,因为他们在2018年被任命为管理委员会成员。2.内部薪酬比率的计算方法在CEO与平均薪酬(薪酬比率)的关系一节中披露。我们根据监督委员会《荷兰公司治理准则》关于2021年生效的《荷兰公司治理准则》3.4.1.iv节的2020年12月指南修订了我们的内部薪酬比率的计算方法。因此,内部薪酬比率的相对历史数字已被重述,以将社会保障费用包括在内部薪酬比率数字中。在计算中,我们只考虑了薪资雇员,因为这确保了与合并财务报表中披露的数字一致。如果我们将临时工纳入其中,这一比例将会较低,因为他们的平均薪酬较高。解释公司业绩与薪酬的关系上表旨在洞察公司过去五年的业绩和薪酬的发展。销售额、净收入和股价是用来衡量公司业绩的,因为它们是代表ASML总体业绩的关键指标,而且考虑到与其他公司的可比性。该公司在过去几年中取得了显著的增长,这不仅体现在员工数量上,也体现在收入方面。自2017年以来,净销售额增长了107%。该公司同期的业绩也大幅增长,例如反映在净收益(根据欧盟国际财务报告准则自2017年以来增长185%)和ASML股价(增长387%)上。如表所示,与同期薪酬的发展相比,该公司在过去五年的业绩有了更显著的改善。公司的增长导致2019年和2021年管理层薪酬政策的修订,导致更高的基本工资以及更高的STI(目标)和LTI(目标)水平。实际薪酬可能每年波动,这取决于任何一年的实际STI支付,以及任何一年业绩股票(LTI)的归属和当时的股价。


ASML 2021年年度报告171首席执行官与平均薪酬之间的关系(薪酬比率)2021年内部薪酬比率1(首席执行官与员工薪酬)增加到40:1(2020年38:1),这是由于2021年执行的政策变化,增加了薪酬。ASML打算向公司内所有职位级别的员工提供有竞争力的薪酬。在每一级,薪酬应反映角色的责任。因此,从一个级别到另一个级别的薪酬积累应该是循序渐进的,并与不断增加的责任相一致,也应遵循市场惯例。在最高层,随着责任最终从部门层面上升到整个公司层面,步骤逐渐变得更大。监事会认为,考虑到公司目前的规模和组织结构,目前的组建和40:1的总体薪酬比率是公平的。1.这一比率包括首席执行官2021年期间的总薪酬(包括所有薪酬组成部分)4,820,000欧元,与所有雇员的平均薪酬相比。所有雇员的平均薪酬是用全时当值平均工资雇员数(工资和薪金+社会保障费用+养老金和退休费用+股份支付)/平均工资雇员数=34.392亿欧元/28,223欧元=12.2万欧元计算的。这一比率尚未准备好符合美国证券交易委员会规定的薪酬比率披露要求。薪酬监事会在薪酬报告的这一部分,我们概述了2021年4月29日股东大会通过并于2021年4月1日生效的监事会2021年薪酬政策。2020年4月22日股东大会通过的监事会薪酬政策适用于2020年薪酬报告披露的2021年头几个月。它还提供了监事会2021年薪酬政策执行情况和监事会成员2021年实际薪酬的详细情况。2021年监事会薪酬政策可以在我们网站的治理部分找到。薪酬政策薪酬目标和原则2021年监事会薪酬政策旨在使ASML能够吸引和留住合格的监事会成员,这些成员共同组成一个多元化和平衡的监事会,具有适当水平的技能、能力和经验,以适当监督(执行)ASML的战略,该战略的重点是为所有利益攸关方创造长期价值。2021年监事会薪酬政策建立在以下原则的基础上:·透明--薪酬政策及其执行明确而实用·一致--薪酬政策以市场惯例为基准·合规--ASML采用良好公司治理的最高标准·简单--薪酬政策及其执行尽可能简单,所有利益攸关方都容易理解·公平--薪酬应反映监事会成员所花费的时间和职责·独立性--监事会成员的薪酬不得取决于公司的结果。参考群体和市场定位监事会的薪酬与相关参考市场相比应具有竞争力。这个市场是使用一个参考公司集团来定义的,该公司集团的两级董事会结构包括在阿姆斯特丹泛欧交易所指数中。为了确定在这一群体中的定位,企业价值、收入和员工数量都被考虑在内。


ASML 2021年年度报告172薪酬政策监事会摘要下表概述和描述了监事会2021年薪酬政策的要素。该表包括在2021年年度股东大会上批准的薪酬政策修订所产生的经修订的监事会和委员会成员费用。组件描述值固定薪酬费用基本会员费与出席会议有关的费用将得到报销。此外,还支付固定净成本津贴,用于支付某些预付的自付费用,具体取决于费用水平不以报酬方式授予股份(权利)。任何出于长期投资目的而持有的ASML股票。任何交易活动均须遵守ASML的内幕交易规则不适用、不适用(重新)任命的荷兰法律和ASML的公司章程。没有追回、遣散费或控制权变更安排的额外津贴洲际会议贷款和担保股份和股份所有权额外安排,与洲际旅行的额外时间承诺有关的额外津贴每次涉及洲际旅行的会议5,000欧元不适用监事会主席监事会成员价值1,980欧元1,380监事会主席监事会副主席监事会主席监事会主席审计委员会成员审计委员会主席其他委员会成员价值130,000欧元94,000欧元75,000欧元25,500欧元18,000欧元20,000欧元14,500 S根据已发生的费用计算,2021年监事会成员的薪酬概况(会费2021年委员会费用2021年津贴20211固定比例2021年薪酬总额2021年薪酬总额2019年薪酬总额2019年薪酬总额2018年薪酬总额125 51 2 100:0 178 157 138 135 ARIS 87 39 1 100:0 127 95 98 80 B.M.CONIX 50 12 1 100:0 63-T.L.凯利74 27 6 100:0 107 88 101 60-R.D.施瓦布74 38 1 100:0 113 104 101 88 86 J.M.C.斯托克74 28 11 100:0 113 100 118 100 100总计632 239 35 100:0 906 660 572 466 401 1.津贴包括固定开支津贴和洲际会议津贴。


ASML 2021年年度报告173在过去五年中,没有向现任和前任监事会成员发放浮动工资。根据《2021年监事会薪酬政策》规定的薪酬原则,监事会的薪酬与ASML的业绩没有直接联系。薪酬前监事会2021年、2020年和2019年授予前监事会成员的薪酬概览(金额以千欧元为单位):会费2021年委员会费用2021年津贴20211固定比例与可变2021年总薪酬2021年总薪酬2019年D.A.增长26 10-100:0 36 117 133 C.M.S.斯密茨23 8-100:0 31 95 91 W.H.齐巴特-30 101总计49 18-67 242 325 1.津贴包括固定费用津贴和洲际会议津贴。其他资料总薪酬管理委员会和监事会成员,包括前成员,在2021年的年度薪酬总额为2,320万欧元(2020年:2,260万欧元)。其他安排由于ASML Holding N.V.直接支付管理委员会和监事会的所有成员,因此其财务状况由ASML合并的子公司或其他公司未授予和分配任何薪酬。管理委员会或监事会成员未获得任何(个人)贷款,也未向管理委员会和监事会的任何成员提供担保或类似的担保。2021年没有向管理委员会和监事会成员发放遣散费,也没有追回浮动薪酬。2021年没有偏离执行2021年管理委员会和监事会薪酬政策的决策进程,也没有暂时偏离2021年薪酬政策。在2021年年度股东大会上,管理委员会的2021年薪酬政策以93.86%的赞成票获得通过。2021年监事会薪酬政策也在2021年年度股东大会上以98.90%的多数投票赞成该提案。2020财政年度薪酬报告已提交给2021年年度股东大会进行咨询投票。85.07%的人投了赞成票。在薪酬委员会主席在本薪酬报告开头的信息中,我们讨论了我们如何对收到的关于管理层薪酬的反馈做出回应。这份薪酬报告将提交给2022年年度股东大会,以根据荷兰法律进行咨询表决,同时还将提交一份修订《2021年管理委员会薪酬政策》的提案,详情请参阅《展望2022年》一节。


ASML 2021年年度报告174董事责任声明


ASML年度报告2021年175管理委员会声明,据其所知,根据欧盟-国际财务报告准则和《荷兰民法典》第二册第9部分编制的财务报表真实而公允地反映了ASML Holding N.V.和合并中所包含的业务的资产、负债、财务状况和损益,年度报告包括根据财务状况报表日期对ASML Holding N.V.的状况、ASML Holding N.V.的发展和业绩以及合并中所包括的业务进行的公平审查,以及他们面临的主要风险和不确定性。根据荷兰企业管治守则,管理委员会特此声明,就其所知及所信,根据目前情况,财务报告以持续经营为基础编制是合理的,而年报披露与预期ASML于本年报刊发日期后12个月期间的持续有关的所有重大风险及不确定因素。在作为ASML Holding N.V.管理委员会的控制声明中,我们特此声明,我们负责ASML内部风险管理和控制系统的设计、实施和运营。这些系统的目的是充分和有效地管理ASML面临的重大风险。这样的系统永远不能为实现公司目标提供绝对保证,也不能绝对保证不会发生重大错误、损失、欺诈和违反法律或法规的情况。我们认识到内部控制和风险管理系统的重要性。此外,根据2002年《萨班斯-奥克斯利法案》第404条,ASML需要适当地建立和维持对财务报告的内部控制。在ASML首席执行官和首席财务官的监督下,ASML管理层根据特雷德韦委员会赞助组织委员会发布的《内部控制-综合框架》(2013)框架,对ASML财务报告内部控制的有效性进行年度评估。年度评估结果、定期更新以及重大变化和改进定期向ASML审计委员会和外聘审计员报告并与之讨论。审计委员会定期向监事会报告这些问题。此外,管理委员会每年一次与审计委员会和全体监事会讨论这一内部控制框架的有效性。我们的内部控制和风险管理系统的建立是基于识别可能影响我们的运营和财务目标的外部和内部风险因素,并包含一套监测、报告和运营审查制度。所有重大风险管理活动都与审计委员会和监事会进行了讨论。有关我们的风险管理活动以及我们的内部控制和风险管理系统的更多信息,请参阅我们在2021年的表现--治理--我们如何管理风险。关于我们的风险因素的摘要,我们参考我们在2021年的表现--治理--风险因素。摘要根据上述措施的结果,并尽其所知和所信,管理委员会指出:1.上述措施提供了合理保证,即财务报表没有任何重大错误。2.年度报告对内部风险管理和控制系统中出现的任何重大缺陷提供了充分的见解。在2021年财政年度,没有发现这种重要的缺陷。2022年2月9日,管理委员会,Peter T.F.M.Wennink,首席执行官兼管理委员会主席总裁,首席技术官兼管理委员会副主席Roger J.M.Dassen,执行副总裁总裁兼首席财务官Christophe D.Fucket,执行副总裁总裁,EUV Frédéric J.M.Schneider-Maunoury,执行副总裁总裁,首席运营官Veldhoven


ASML年度报告2021年176合并财务报表


ASML年度报告2021年177综合损益表截至12月31日的年度(欧元,百万欧元,不包括每股数据)附注2019 2020 2021系统净销售额2 8,996.2 10,316.6 13,652.8净服务和现场选项销售额2,823.8 3,661.9 4,958.2总净销售额2,3 11,820.0 13,978.5 18,611.0系统销售成本(5,055.9)(5,597.9)(6,874.5)服务和现场选件销售成本(1,864.0)(2,012.0)(2,319.1)销售总成本(6,919.9)(7,609.9)(9,193.6)毛利润4,900.1 6,368.6,417.4研发成本(1,662.9)(1,579.9)(1,861.6)销售,一般和行政成本(520.5)(544.9)(725.6)其他收入10--213.7营业收入2,716.7 4,243.8 7,043.9财务收入11.6 8.4 10.0财务成本16(36.6)(43.3)(54.6)所得税前收入2,691.7 4,208.9 6,999.3所得税支出21(128.8)(600.7)(1,063.8)所得税后收入2,562.9 3,608.2 5,9355联营公司投资利润9 18.2 88.6 199.1净收益2,581.1 3,696.8 6,134.6每股普通股基本净收益23 6.13 8.84 14.97稀释每股净收益23 6.12 8.82 14.95计算每股收益时使用的普通股数量基本23 420.8 418.3 409.8稀释23 421.6 419.1 410.4


ASML年度报告2021年178截至12月31日的综合全面收益表(欧元,百万)附注2019 2020 2021净收入2,581.1 3,696.8 6,134.6其他全面收入:从联营公司获得的其他全面收入的比例(19.8)(1.3)22.0外币换算净额:外币换算损益和套期保值的有效部分22 20.3(75.1)95.4金融工具税后净额:衍生金融工具的损益22,25 3.2(21.0)16.6转至净收益22,25(10.7)(2.3)22.2其他全面收入,税后净额1(7)(99.7)156.2总全面收入,扣除税后净额2,574.1 3,597.1 6,290.8可归因于股权持有人2,574.1 3,597.1 6,290.8 1.截至2021年12月31日,累计其他全面收入中的所有项目,包括我们从联营公司获得的其他全面收入中亏损490万欧元的累积比例份额(2020年:2,690万欧元;对冲准备金余额为1,650万欧元收益(2020年:亏损2,230万欧元;2019年:收益100万欧元)和货币换算准备金余额1.507亿欧元收益(2020年:5,740万欧元收益;2019年:1.299亿欧元收益),将在满足特定条件时重新分类为损益。


应收账款净额6 400.5 383.0递延税项净资产21 807.4 1 337.6其他资产8 827.7 964.1衍生金融工具25 123.8 47.3联营公司投资9 820.6 892.5商誉11 4,650.6 4,577.1无形资产净额12,653.7 2,852.9物业、厂房和设备,使用权净资产14 344.9 164.8非流动资产13,099.5 14,202.0现金及现金等价物4 6,049.4 6,951.8短期投资4 1,302.2 638.5应收账款净额5 1,310.3 3 028.0财务应收账款净额6 1,710.5 1,185.6合同资产2 119.2 164.6流动税金资产21 67.3 42.0存货,净资产8 599.9 687.2衍生金融工具25 39.0 52.2流动资产总额28,866.7 32,131.1股权和负债股东权益22 15,300.9 11,843.8长期债务16,662.8 4,075.0递延和其他所得税负债21 401.9 438.3合同负债2 1,639.9 3,225.7应计和其他负债15 257.5 251.1非流动负债总额6,962.1 7,990.1应付账款1,378.1 2,115.5应计负债和其他负债15 1,126.0 1,432.7衍生金融工具25 20.0 2.8流动税负债21 110.0 301.9长期债务的流动部分16 15.4 509.1合同负债2 3,954.2 7,935.2流动负债总额6,603.7 12,297.2权益和负债总额28,866.7 32,131.1


ASML 2021年年度报告180综合股本变动表(分配净收入前)已发行和流通股按成本计算的库存股溢价留存收益其他储备1净收入总额(欧元,百万)1月1日的票据数量股本余额,2019年421.1 38.6 4,203.2(1,621.8)6,181.7 1,601.3 2,525.5 12,928.5前一年净收入-2,525.5-(2,525.5)--全面收入构成:净收入-2,581.1联营公司在保险投资中所占份额-(19.8)-(19.8)外币换算-20.3-20.3金融工具的收益(亏损)25-(7.5)-(7.5)全面收益总额-(7.0)2,581.1 2,574.1购买库存股(1.9)--(410.0)-(410.0)库存股注销-(0.5)-902.3(901.8)-以股份为基础的付款2 20--82.8-82.8股票发行0.6 0.1(43.9)109.9(38.9)--27.2股息支付-(1,325.7)--(1,325.7)发展支出-74.1(74.1)--截至12月31日的余额,2019年419.8 38.2 4,242.1(1,019.6)6,514.9 1,520.2 2,581.1 13,876.9上一年净收入-2,581.1-(2,581.1)--全面收入构成:净收入-3,696.8 3,696.8来自联营公司的保险金份额-(1.3)-(1.3)外币换算-(75.1)--(23.3)-(23.3)全面收益总额-(99.7)3,696.8 3,597.1购买库存股(3.9)--(1,207.5)-(1,207.5)库存股注销--(0.7)-1,262.3(1,261.6)---支付股息--(1,066.4)--(1,066.4)--(1,066.4)发展支出-(192.5)192.5--截至12月31日的余额,2020年416.5 37.6 4,259.2(863.2)6,557.5 1,613.0 3,696.8 15,300.9上一年净收入-3,696.8-全面收入组成部分:净收入-6,134.6 6,134.6在联营公司的保单份额-22.0-22.0外币换算-95.4-95.4金融工具收益(亏损)25---156.2 6,134.6 6,290.8购买库存股(14.4)--(8,560.3)-(8,560.3)注销库存股-(1.2)-6,926.6(6,925.4)-以股份为基础的付款220 20--131.7-131.7股票发行量0--(1,368.3)--(1,368.3)--(1,368.3)发展支出-(293.9)293.9--12月31日余额,2021年402.6 36.5 4,369.4(2,422.8)1,663.0 2,063.1 6,134.6 11,843.8 1.其他储备包括我们在联营公司其他全面收入中的比例份额、对冲储备、货币兑换储备和资本化发展支出储备。见附注22股东权益。2.股份支付包括直接在股东权益中确认的所得税(1420万欧元)(2020年:欧元(900万),2019年:欧元(810万))。(3,696.8)


ASML年度报告2021年181截至2018年12月31日的合并现金流量表(欧元,单位:百万)附注2019年2021年来自经营活动的现金流量净收入2,581.1 3,696.8 6,134.6调整将净收入与来自经营活动的净现金流量进行调整:折旧和摊销1 12,13,14 828.3 918.4 862.6出售时的减值和损失(收益)12,13 7.8 5.5(15.9)基于股份的补偿费用20,28 74.6 62.9 131.7出售子公司收益10--(213.7)库存准备金7 221.5 192.4 180.7递延税项支出(收益)21(348.8)(175.8)(487.9)联营公司投资2 956.9 11.0(49.8)资产和负债变动:应收账款,净额5(255.0)507.5(1,754.9)应收财务账款,净额6(95.3)(1,125.4)542.3存货7(404.7)(706.7)(483.2)其他资产8(141.8)(67.9)(127.0)应计和其他负债15 155.2 106.4 410.3应付帐款(12.1)334.3 717.4当期税项资产和负债21(210.9)129.2 215.6合同资产和负债21 1 198.3 1 418.0 5 529.8经营活动提供的现金净额3 655.1 5 306.6 11 592.6投资活动购买财产的现金流量,厂房及设备3 13(766.6)(962.0)(900.7)购买无形资产12(424.9)(658.9)(725.0)购买短期投资4(1,291.5)(1,475.5)(1,162.7)到期的短期投资4 1,019.0 1,359.1 1,826.4已发放贷款及其他投资8 0.9(12.2)(124.4)出售附属公司所得收益(出售现金后净额)10--329.0收购子公司(扣除收购的现金)10-(222.8)-用于投资活动的现金净额(1,463.1)(1,972.3)(757.4)融资活动产生的现金流量股息22(1,325.7)(1,066.4)(1,368.3)购买库存股22(410.0)(1,207.5)(8,560.3)发行股票的净收益20 27.2 37.9 49.0发行票据的净收益,扣除发行费用净额16-1 486.3--偿还债务和融资租赁债务1416(76.9)(62.2)(73.5)用于融资活动的现金净额(1,785.4)(811.9)(9,953.1)现金流量净额406.6 2,522.4 882.1汇率变动对现金的影响4.6(5.3)20.3现金和现金等价物净增(减)411.2 2,517.1 902.4年初现金和现金等价物4 3,121.1 3,532.3 6,049.4年终现金和现金等价物4现金流量信息补充披露3,532.3 6,049.4 6,951.8:财产未付部分,扣除退款(678.7)(650.2)(1,235.0)1.折旧及摊销包括物业、厂房及设备折旧、无形资产摊销、使用权资产折旧、承销佣金摊销及与债券及信贷融资有关的折扣。2.对联营公司的投资包括从联营公司收到的利润和股息,以及如附注26所披露的2019年和2020年研发和供应链支持资金的资本化。关联方。收到的股息是2021年1.68亿欧元的现金流入(2020年:1.281亿欧元,2019年:9990万欧元)。3.2021年,购买不动产、厂房和设备的金额为6920万欧元(2020年:2.037亿欧元,2019年:1.841亿欧元),涉及为我们在联营公司的投资提供设施和工具的资金,这些资金最初被确认为其他资产的一部分。


ASML 2021年年报182综合财务报表附注1.一般信息/一般会计政策摘要我们是芯片行业的全球创新领导者。我们为芯片制造商提供硬件、软件和服务,以尽可能高的保真度在硅上大规模生产图案,我们称之为整体光刻。我们的所作所为增加了芯片的价值,降低了成本,这将推动我们所有人走向一个更智能、更互联的世界。总部设在欧洲最大的科技中心--荷兰的Brainport Eindhoven地区,我们是一支拥有超过32,000名FTE的全球团队,分布在三大洲122个不同的国家。ASML的主要业务在欧洲、北美和亚洲。ASML N.V.的注册办事处位于荷兰维尔德霍温德润6501号。法定席位在维尔德霍温。该公司在荷兰商业登记处注册,注册号为17085815。我们的股票以登记股票的形式在阿姆斯特丹泛欧交易所和纳斯达克上市交易。我们普通股的主要交易市场是阿姆斯特丹泛欧交易所。我们的财务报表于2022年2月9日由管理委员会授权发布,并将在2022年年度股东大会通过后8天内提交给埃因霍温商会的贸易登记簿。编制基础所附合并财务报表以百万欧元为单位,除非另有说明。这些为法定目的编制的合并财务报表是根据欧盟-国际财务报告准则编制的,也符合《荷兰民法典》第二册362.9条的规定。出于内部和外部报告的目的,我们采用美国公认会计准则。美国公认会计原则是我们设定财务和运营业绩目标的主要会计标准。除非另有说明,合并财务报表是按历史成本惯例编制的。所采用的主要会计政策如下。使用估计根据欧盟-国际财务报告准则编制我们的综合财务报表要求管理层作出估计和假设,以影响综合财务状况报表日期的资产和负债额以及或有资产和负债的披露,以及报告期内净销售额和成本的报告金额。对我们估计和假设的投入考虑了经济影响,包括新冠肺炎对我们关键会计估计的影响。我们认为,关键的会计估计和假设是适当的。反洗钱法将继续监测包括“新冠肺炎”在内的经济影响的影响,并将其纳入会计估计数。实际结果可能与这些估计不同。我们不断评估我们的估计,我们根据历史经验和我们认为在这种情况下合理的各种其他假设来进行估计。如果这些假设被证明是不正确的,实际结果可能与这些估计不同。如果实际结果与这些估计之间存在重大差异,我们未来的结果可能会受到实质性的不利影响。我们认为,下文所述的会计政策要求我们在编制综合财务报表时作出重大判断和估计。我们最关键的会计估计包括:·收入确认,包括租赁会计·库存储备·不确定的税务状况·或有和诉讼·长期资产减值评估·发展支出资本化合并原则合并财务报表包括ASML Holding N.V.及其所有子公司的财务报表。子公司是ASML控制财务和经营活动的所有实体,通常伴随着超过50.0%的未偿还投票权的股权。子公司自ASML获得控制权之日起完全合并。该公司使用一个季度的滞后来整合柏林Glas,以考虑到


ASML 2021年年度报告183及时编制合并财务信息。除在截至2021年12月31日的财政年度确认的柏林格拉斯非核心业务的剥离外,在这段滞后期内并无发生对综合财务报表有重大影响的重大插入性事件。所有公司间交易、余额和与子公司交易的未实现结果都将被冲销。外币换算欧元区以外拥有本位币的子公司的财务信息是使用当地货币或欧元作为本位币的混合货币来衡量的。在编制ASML的合并财务报表时,功能货币不同于欧元的外国子公司的财务报表被换算为欧元。资产和负债按各自资产负债表日的汇率换算成欧元,收入和成本按相应期间的平均汇率换算成欧元。由此产生的换算调整直接计入股东权益。尽管在2021年期间通过了新的欧盟-IFRS会计准则和解释,但没有通过对我们的合并财务报表产生重大影响的新的欧盟-IFRS会计准则和解释。就截至2021年12月31日止年度已颁布但未获采纳的新欧盟-IFRS会计准则及诠释而言,并无尚未采纳的新会计准则及诠释,预期将对我们的综合财务报表产生重大影响。2.与客户签订合同的收入会计政策我们根据与客户签订的合同中指定的对价来衡量收入,并根据任何重要的融资组成部分进行调整,不包括代表第三方征收的任何税款。当我们通过将商品或服务的控制权转移给客户来履行履行义务时,我们就会确认收入。我们向客户收取运费和手续费,并将其确认为收入。根据合同,我们通过预订生产时段或在交付系统时获得系统付款的权利,剩余部分在我们的系统最终验收后获得。除非另有说明,否则我们的服务和现场选项的付款权利在服务发货或服务完成时发生。付款通常应在上述事件发生后15-45天内支付。我们的合同通常包括取消罚款,以提供经济保护,免受客户取消的风险。与销售有关的成本被确认为销售成本。我们的收入来自销售半导体行业的集成图形解决方案,主要包括系统、系统相关选项和升级、其他整体光刻解决方案和客户服务。我们净销售额的主要部分来自与我们的客户签订的批量购买协议,这些协议具有多种性能义务,主要包括销售我们的系统、系统相关选件、安装、培训以及延长和增强保修。在我们的批量采购协议中,我们在正常的销售谈判过程中向客户提供折扣。作为这些批量购买协议的一部分,我们还可能提供免费的商品或服务以及可用于未来购买的积分。有时,系统以及相关的延长和增强保修、安装和培训服务是单独订购的。我们的销售协议没有包括退货的权利,除了不符合约定的规格以外的任何理由。对于捆绑包,如果产品或服务可以与捆绑包中的其他项目分开识别,并且如果客户可以单独受益或利用客户随时可用的其他资源,则我们将个别商品和服务作为单独和不同的履行义务,包括免费或折扣的商品或服务。我们为履行义务支付的对价通常是固定的。然而,我们与客户签订的大多数批量采购协议都包含一些可变的考虑因素,通常取决于客户订购的系统的最终数量或系统性能。根据与客户的沟通,在合同开始时为每项履约义务估计可变对价,以了解


ASML《2021年年度报告》184其要求和路线图。随后每季度更新一次,使用期望值方法或最可能金额方法,无论哪种方法被确定为最能预测从客户那里收集的对价。可变对价只有在被认为可能不会发生重大收入逆转的情况下才包括在交易价格中。在某些情况下,在签订批量采购协议时,直接或通过可在未来合同上使用的凭单提供免费货物或服务。在根据所提供的货物或服务的性质转让控制权时,合同的对价将分配给这些履约义务和确认的收入。我们的一些合同要求我们的客户为发货的系统支付首付。由于支付对价和将系统转移给客户的时间差异是由融资以外的原因引起的,因此我们没有记录首付的重大融资部分。合同的总对价在合同中所有不同的履约义务之间根据其独立的销售价格进行分配。如果可能,独立销售价格是根据其他直接可见的独立销售确定的。然而,对于我们的大部分绩效义务来说,这些都是不可用的。如果没有直接可观察到的证据,独立销售价格将使用调整后的市场评估方法确定,这需要判断。对购买承诺之外购买商品或服务的选项进行评估,以确定它们是否向客户提供了如果没有签订本合同就不会获得的物质权利。以独立销售价格折扣价购买额外商品或服务的每一种选择权都被视为一种实质性权利。如果确定客户将根据可能性进行调整后行使购买选择权,则从独立销售价格提供的折扣将从合同中其他商品和服务的对价中分配。收入将根据相关商品或服务的性质予以确认。如果后来确定客户不会行使购买选择权,或者选择权到期,收入将被确认。有时,我们会根据客户的请求,对已准备好交付但要到较晚日期才能交付给客户的系统,向客户开具发票并保留交易。只有在有实质性的安排理由、系统被单独识别为属于客户、货物已被客户接受并准备交付、并且我们没有能力指导系统的使用时,控制权的转移才被确定发生。我们从出租人协议中获得收入,当租赁开始时满足以下任何标准时,我们将其归类为融资租赁:·租赁在租赁期结束时将标的资产的所有权转让给承租人;·租赁授予承租人购买标的资产的选择权,承租人合理地肯定会行使这一选择权;·租赁期限是标的资产剩余经济寿命的主要部分。但是,如果开始日期是在标的资产的经济寿命结束时或接近经济寿命结束时,这一标准不得用于对租赁进行分类;·租赁付款和承租人担保的尚未反映在租赁付款中的任何剩余价值之和的现值等于或基本上超过标的资产的全部公允价值;或·标的资产具有特殊性质,预计在租赁期结束时没有出租人的替代用途。对于销售型租赁而言,资产所有权的几乎所有风险和回报都转移给承租人,收入在租赁期开始时确认。应收融资毛额与最低租赁付款现值之间的差额最初确认为未赚取利息,并作为应收融资毛额的减项列报。利息收入按实际利息法在租赁合同期间的综合损益表中确认。


ASML年度报告2021年185货物或服务的性质、履行履行义务的时间和重大付款条件新系统(成熟技术)新系统销售包括I-LINE、KRF、ARF、ARFI和EUV相关系统,以及与基础系统一起订购的相关工厂选项,以及计量和检查系统。发货前,我们的大多数系统都会在洁净室设施中接受工厂验收测试(FAT),有效地复制客户现场的运行条件,以验证系统是否满足其标准规格以及与客户商定的任何其他技术和性能标准。只有在满足所有合同规格或放弃与商定规格的差异并收到客户签字以供交付后,系统才会发货。在客户现场安装后,通过现场验收测试(SAT)重新测试每个系统的性能。我们从未在客户所在地成功完成系统的安装;因此,FAT的接受度被认为是在SAT(等于或优于FAT)成功接受客户的历史上得到验证的成熟技术。新系统的销售不符合长期收入确认的要求,因为我们的客户没有同时获得和消费我们的业绩提供的好处,或者在我们生产过程的任何阶段控制资产,以及这些系统被认为具有替代用途。正在进行FAT的系统的控制权转移以及与该系统相关的收入的确认将在该系统交付时发生。未经历FAT的系统的控制权转移以及与该系统相关的收入确认将在安装完成后客户在SAT接受该系统时进行。二手系统我们在一般销售条款和条件中没有回购承诺,但我们偶尔会回购以前制造和销售的系统,以便将系统翻新并转售给不同的客户。这一回购决定主要是受其他客户表达的市场需求推动。旧系统控制权的转移和收入的确认,遵循与我们的“新系统(已建立的技术)”相同的逻辑。现场升级和选件(系统增强)现场升级和选件主要涉及为客户工厂中已安装的系统提供的货物和服务。某些升级需要大量的安装工作,从而增强客户控制的资产,因此导致在安装期内转移控制权,使用使用工时估计的已发生成本方法来衡量,因为这最好地描述了我们在转移控制权方面的义务的履行。对于客户在交付时获得和消费收益的选项和其他升级,控制权的转移和收入的确认将在交付时发生。只要我们不能对完成升级所需的总努力做出可靠的估计,我们就只确认收入来弥补所发生的成本。利润将在我们能够做出可靠的估计或完成升级的较早时间实现。新产品介绍我们销售新产品和服务,这是我们现有技术的发展。如果确定安装不是单独的性能,或者如果在FAT上没有足够的已建立的验收历史,则该产品被确定为“新产品介绍”。新产品介绍通常是在我们的系统中使用的新开发的选项。在SAT成功安装和客户接受后,新产品推出的控制权转移和收入确认就会发生。一旦建立了成功安装和客户验收的历史,在控制权转移后,收入将与其他系统和货物一致确认。安装安装在系统的销售价格内提供。安装被认为是不同的,因为它不会对所购买的系统进行重大修改,而且如果需要,客户或第三方可以自己执行安装。控制权的转移是在从交付到SAT的安装期间进行的,以直线为基础进行衡量,因为我们的业绩在这段时间内得到了均匀的满足。非销售型租赁属于经营性租赁安排。如果我们向客户提供了运营租赁安排,系统将在租赁开始时包括在物业、厂房和设备中。经营租赁安排的收入在租赁合同期限内按直线法在综合损益表中确认。


ASML年度报告2021年186商品或服务的性质、履行履行义务的时间和重大付款条款保修我们为我们的系统提供为期12个月的标准保修,在这些保修期内提供维修我们系统所需的人工和非消耗性部件。这些标准保修不能购买,并且除了系统将按承诺执行的一般保证外,不提供其他服务。因此,没有任何收入分配给这些标准保修。我们系统的延长保修和增强保修都被视为单独的性能义务,在保修期内发生控制权转移,以直线为基础进行衡量,因为这是一项随时可用的义务。基于时间的许可证和相关服务基于时间的许可证是指在一段时间内销售的软件许可证和相关服务。许可证和相关服务不被认为是独立的,因为支持服务对于客户在快速变化的技术环境中继续使用软件许可证的能力是不可或缺的。控制权的转移是在许可期限内进行的,以直线为基础进行衡量,因为我们的性能在这段时间内得到了均匀的满足。在整个许可期限内分期付款。应用项目应用项目是节点过渡和咨询项目,有时可以在批量购买协议中作为免费服务提供。衡量这一履行义务的满意度是通过一种输入法进行的,这种输入法基于所花费的劳动小时相对于估计的总劳动小时,因为这最好地描述了这类服务的控制权转移。服务合同与我们的客户签订服务合同,以支持我们的系统在系统生命周期的持续运营中使用,通常是以全面服务协议、有限人力协议、其他劳动协议、部件可用性或部件使用协议的形式。这些服务通常持续一段指定的时间。在这段时间内的控制权转移,在直线基础上衡量,因为这些是准备就绪的义务,除了工作时间池服务合同,我们根据欧盟-IFRS 15.B16中的实际权宜之计,根据发票确认收入。在整个服务期内,通常按月或按季开具发票。计费部件和人工计费人工是指通过客户的采购订单,对安装在客户工厂中的系统在运行期间提供的维护服务。在收到客户签字后,对这些服务的控制权转移到客户手中。可计费部件是指通过客户的采购订单安装在客户工厂中的备用部件,包括与我们的系统相关的光学部件。可开单部件可以:·作为直接备件出售,交付时控制权转移;或·作为维护服务的一部分出售,在收到客户签字后控制权转移。外地项目(搬迁)外地项目主要是搬迁服务。这一履行义务的满意度是通过一种输入法执行的,该输入法基于所花费的工时相对于估计的总工时,因为这最好地描述了我们服务的控制权的转移。在我们的光源系统上,OnPulse维护在指定的时间段内提供OnPulse维护服务。付款由从每个光源系统计数的脉冲量确定,该量是可变的。根据计数的脉冲按月开具发票。按照欧盟国际财务报告准则15.B16中的实际权宜之计,按照发票确认收入。


ASML年度报告2021年187收入分类我们从与客户的合同中获得的收入,在分类的基础上,与我们的可报告部门披露保持一致,并增加了按技术和按最终用途分类的系统净销售额。每项技术的系统净销售额如下:系统净销售额(单位:百万欧元)2021年EUV 42 6,284.0 ARF 81 4,959.6 ARF干式22 431.9 KRF 131 1,321.3 I-LINE 33 142.3计量与检测196 513.7总计505 13,652.8 2020 EUV 31 4,463.8 ARF 68 3,917.0 ARF干式22 427.0 KRF 103 1,012.3 I-LINE 34 146.4计量与检测137 350.1总计395 10,316.6 2019年EUV 26 2,799.7 ARFI 82 4,707.7 ARF干式22 401.2 KRF 65 679.7 I-LINE 34 133.5计量和检验115 274.4总计344 8,996.2每个最终用途的系统净销售额如下:截至2018年12月31日的年度系统净销售额(单位:百万欧元)2021年逻辑327 9,588.5内存178 4,064.3总计505 13,652.8 2020逻辑260 7,393.0内存135 2,923.6总计395 10,316.6 2019逻辑238 6,565.3内存106 2,430.9总计344 8,996.2


ASML 2021年年度报告188合同资产和负债合同资产与我们获得对价以换取交付的货物或服务的权利有关,当这种权利是以时间流逝以外的其他条件为条件时。当应收款变为无条件时,合同资产转移到应收款。合同负债主要涉及已收到对价的剩余履约义务,如为要交付的系统收到的首付款,以及根据对价在合同中对相关履约义务的分配而从系统发货产生的递延收入。这一递延收入主要包括作为批量购买协议的一部分提供的延长和增强的保修、安装和免费商品或服务。我们的大多数客户合同都包含资产和负债头寸。在每个报告期结束时,这些头寸以合同为基础进行净额结算,并在综合财务状况表中作为资产或负债列报。因此,在资产负债表中,合同余额可以在不同期间从净合同资产余额变为净合同负债余额。本期间合同资产和合同负债余额的重大变化如下。截至12月31日的年度(欧元,合同资产合同负债合同负债年初合同负债余额231.0 4,286.0 119.2 5,594.1从合同资产转入应收账款(192.2)-(268.2)--在合同资产中确认的收入83.4-199.7--已列入合同负债的确认收入--(2,428.4)-(3,767.0)因估计数变化导致的累计追赶调整的变化--(41.9)-39.7已收到考虑的剩余履约债务,或我们有权无条件对价的合同-3,781.4-9,180.2合同资产与负债之间的转移(3.0)(3.0)113.9 113.9总计119.2 5,594.1 164.6 11,160.9截至2021年12月31日,合同净负债增至109.963亿欧元,而截至2020年12月31日,合同净负债为54.749亿欧元,主要是由于确认了将在未来发货的系统的首付款。在本年度收入中确认的累积追赶调整是由于对我们的批量采购协议中包括的系统数量、折扣和信用的更新估计。剩余的履约义务我们的客户通常通过单独的销售订单和服务合同承诺购买系统、服务或现场选项。通常,这些销售订单的条款和条件来自与我们客户的批量采购协议,期限最长可达5年。每项承诺履约义务的收入是根据通过批量采购协议商定的条款和条件估计的。何时确认收入主要取决于系统的发货或安装时间,以及服务项目和现场升级的执行和完成时间。所有这些都是根据合同条款和与我们客户的沟通进行估计的,包括客户设施是否准备好接受我们的商品或服务。批量采购协议可能会受到修改,影响预期收入的收入确认金额和时间。截至2021年12月31日,剩余的履约义务总额为289亿欧元(2020年12月31日:151亿欧元)。我们估计,这些预期收入中的61%(2020年12月31日:76%)将在未来12个月内确认。剩余的预期收入主要包括与EUV系统和我们的下一代EUV平台High-NA相关的订单,这些订单计划于2023年或更晚发货。


ASML年度报告2021年189 3.部门披露ASML有一个可报告的部门,用于先进半导体设备系统的开发、生产、营销、销售、升级和服务,包括光刻、计量和检验系统。首席业务决策者定期审查其业务结果,以便就资源分配作出决定并评估业绩。管理报告包括新系统和旧系统的净系统销售数字、每项技术的销售额和每一最终用途的销售额。关于每项技术和最终用途的销售额,请参阅附注2与客户签订的合同收入。新系统和旧系统的净销售额如下:截至2019年12月31日的年度(欧元,以百万为单位)2019年2021年新系统8,807.1 10,160.8 13,446.1已用系统189.1 155.8 206.7净系统销售额8,996.2 10,316.6 13,652.8为地理报告,总净销售额归因于客户设施所在的地理位置。非流动资产总额归因于这些资产所在的地理位置,不包括递延税项资产、金融工具和薪酬计划资产。按地理区域分列的总数如下:截至12月31日的年度(欧元,净销售额非流动资产总额2021年日本459.3 8.3韩国6,223.0 89.7新加坡126.2 8.6台湾7,327.9 187.2中国2,740.8 30.3亚洲其他地区1.8 0.5荷兰14.2 7,030.4欧洲、中东和非洲地区134.6 935.6美国1,583.2 3,934.0总计18,611.0 12,224.6 2020年日本542.8 12.6韩国4,151.6 59.5新加坡84.9 3.5台湾4,731.3 188.4中国2,324.426.8亚洲其他地区1.6 0.8荷兰1.6 6,521.0欧洲、中东及非洲地区483.3 982.8美国1,657.0 3,899.1总计13,978.5 11,694.5 2019年日本463.2 10.3韩国2,202.1 41.1新加坡120.0 2.1台湾5,357.0 156.1中国1,377.7 34.0亚洲其他地区1.9荷兰2.6 6,043.1欧洲、中东及非洲地区314.6 772.4美国1,980.2 3,839.1 2021年总计11,820.0 10,900.12个客户超过总净销售额的10%,总计125.054亿欧元,占总净销售额的67.2%。2020年和2019年,3个客户超过总净销售额的10%,2020年总计99.465亿欧元,占71.2%(2019:


ASML 2021年年报190欧元,80.181亿欧元,或67.8%)。截至2021年12月31日,我们的三大客户(基于总净销售额)占应收账款和融资应收账款的38.552亿欧元,占83.7%,而截至2020年12月31日,占27.57亿欧元,占80.1%;截至2019年12月31日,占21.918亿欧元,占77.2%。总净销售额从2020年的139.785亿欧元增长到2021年的186.11亿欧元,净销售额为46.325亿欧元,增幅33.1%,原因是全球芯片短缺、数字基础设施的加速以及对“技术主权”的推动。这导致了每种技术的销售量更高。这也导致了我们的服务和现场选项业务的增长,因为客户对我们的生产率提高方案的需求提前,这些方案提供了最有效和最高效的方式来增加晶圆产量。逻辑行业在2021年继续保持强劲势头,是我们最先进的EUV系统的最大消费国。2021年,由于数据中心和智能手机需求强劲,内存需求继续增长。台湾和韩国的地区销售增幅最大,以支持扩大产能以满足全球需求。荷兰非流动资产的增加主要是由于建造ASML的物流设施、High-NA工厂和我们在Veldhoven总部的办公空间,以支持我们的持续增长。部门业绩也由我们的首席运营决策者根据美国公认会计准则对总资产进行评估。下表为综合财务状况表中对总资产的计量和核对:截至2020年12月31日的年度(欧元,以百万为单位)2020 2021根据美国公认会计准则27,267.4 30,231.0发展支出(附注A)1,613.9 1,907.9所得税(附注B)(14.6)(7.8)总资产基于欧盟-IFRS 28,866.7 32,131.1美国GAAP和欧盟-IFRS之间的上述差异主要涉及以下内容:附注A-欧盟-IFRS项下的发展支出,我们采用IAS 38,“无形资产”。根据国际会计准则第38号,我们将某些开发支出资本化,这些支出在相关产品的预期使用寿命内摊销,一般为一至五年。根据美国公认会计原则,我们适用ASC 730,“研究和开发”。根据ASC 730,我们将与研究和开发相关的成本计入发生的运营费用。注B--所得税根据美国公认会计原则,在合并中消除与存货相关的公司间交易的未实现净收入会产生临时差额,因此必须在合并中确认预付税款。根据美国公认会计原则,这些预付税款是根据卖方的税收管辖权计算的。与美国公认会计原则相反,预付税项在欧盟国际财务报告准则中确认为递延税项资产,并根据买方而不是卖方税务管辖区适用的税率计算。4.现金及现金等价物及短期投资会计政策现金及现金等价物主要由高流动性投资组成,例如银行存款、存放于政府及政府相关机构的存款、货币市场基金及银行账户可随时兑换为已知数额的现金,且利率风险不大且于收购日持有该等投资的实体的原始到期日为3个月或以下。收购日原始到期日大于3个月、1年或以下的投资作为短期投资列报。这些投资的公允价值变动不是临时性的,在综合损益表中确认。短期投资的利率风险微不足道。


本组织2021年年度报告191现金和现金等价物及短期投资如下:截至2021年12月31日的年度(欧元,百万欧元)2021年在金融机构、政府和政府相关机构的存款1 545.3 2 131.7货币市场基金的投资3,841.9 2,928.3银行账户662.2 1,891.8现金和现金等价物6,049.4 6,951.8在金融机构、政府和政府相关机构的存款1,302.2 638.5短期投资1,302.2 638.5现金和现金等价物和短期投资主要受业务活动提供的强劲净现金的影响,主要由股份回购计划、派发股息及购置物业厂房及设备及无形资产所抵销的净收入增加及首期付款增加所带动。存放在金融机构、政府和政府相关机构的存款,以及对货币市场基金的投资,被S、穆迪或惠誉等信用评级机构评为投资级信用评级。我们的现金和现金等价物主要以欧元计价,并在一定程度上以美元、新台币、韩元和人民币计价。截至2021年12月31日,对现金和现金等价物的使用没有限制(2020年:没有限制)。这些资产的账面价值接近其公允价值。应收账款,会计政策应收账款按公允价值计量,随后按摊销成本减去信贷损失准备计量。应收账款的账面价值接近公允价值。我们对客户的财务状况进行持续的信用评估。我们会定期检讨是否需要为信贷损失拨备,考虑的因素包括:过往的付款经验、信贷质素、应收账款结余的账龄、预期的终身亏损,以及可能影响客户支付能力的当前经济状况。在达成出售应收账款的安排时,我们只有在符合取消确认标准的情况下才会取消确认应收账款。这些标准要求与卖方隔离,授予买方质押或交换应收款的权利,并合法转移应收款上的几乎所有风险和报酬。应收账款由以下部分组成:截至2021年12月31日的年度(欧元,百万欧元)2020年应收账款总额1,313.1 3,032.5信贷损失拨备(2.8)(4.5)应收账款净额1,310.3 3,028.0截至2021年12月31日的应收账款与2020年12月31日相比有所增加,这是由于我们的销售额和保理应收账款的时间增加。2021年,通过保理安排出售的应收账款共计23亿欧元(2020年:22亿欧元)。这些金额包括5亿欧元(2020年:14亿欧元)常规贸易应收账款和18亿欧元(2020年:8亿欧元)绝对、无条件、不可撤销的应收账款,用于2022年和2023年发货的系统首付款。由于资产与卖方隔离,应收账款保理已被取消确认,应收账款的所有风险和回报基本上都转移给了买方,而且对买方与保理项目没有任何限制。出售的应收账款的公允价值与其账面价值基本相同。现金收据在现金流量表合并报表中作为经营性现金流量处理。


ASML 2021年年度报告192应收账款减值并按个人计提准备。截至2021年12月31日,应收账款4.488亿欧元(2020年:2.778亿欧元)逾期但未减值。这些余额仍然被认为是可以收回的,因为它们与最近没有违约历史且信用质量没有重大变化的客户有关。下表显示了逾期最多三个月和逾期三个月以上的应收账款的账龄分析。当付款期限到期时,应收账款就是逾期的。截至2021年12月31日(欧元,以百万为单位),2021年逾期三个月131.3 401.0逾期三个月149.3 52.3逾期总数280.6 453.3 2021年平均逾期天数从2020年的34天增加到2021年的59天。2021年和2020年,我们没有就系统销售的应收账款记录任何预期的信用损失。6.融资应收账款,会计政策融资应收账款包括与融资租赁有关的应收账款。我们对客户的财务状况进行持续的信用评估。我们会定期检讨是否需要信贷损失拨备,考虑的因素包括:过往的付款经验、信贷质素、应收账款结余的老化情况、预期的终身亏损,以及可能影响客户支付能力的当前经济状况。下表列出了截至2021年12月31日和2020年12月31日的应收账款构成:截至2021年12月31日的年度(欧元,单位:百万)2021年应收金融账款,毛额2,122.5 1,570.0未赚取利息(11.5)(1.4)金融应收账款,净额2,111.0 1,568.6应收金融账款的流动部分,毛额1,716.1 1,187.0未赚取利息的流动部分(5.6)(1.4)应收金融账款的非流动部分,净400.5 383.0截至12月31日的金融应收账款与12月31日相比减少,2020年是已发货系统的免费使用期和评估期到期的结果,但通过向更多系统提供免费使用期,新的销售类型租赁部分抵消了这一影响。这些销售型租赁支持高端系统的容量提升,而高端系统是该技术早期插入生命周期的一部分。预计它们将在免费使用期结束时购买。我们的融资租赁在租赁开始日确认的毛利润在2021年期间达到5.142亿欧元(2020年:8.302亿欧元;2019年:3.439亿欧元)。截至2020年12月31日和2021年12月31日,最低租赁付款和最低租赁付款现值为:最低租赁付款截至12月31日的年度最低租赁付款现值(欧元,406.4 383.0 400.5 383.0总数2,122.5 1,570.0 2,111.0 1,568.6减去:未赚取利息(11.5)(1.4)n/a n/a于2021年及2020年的最低应收租赁付款现值2,111.0 1,568.6 2,111.0 1,568.6于2021年及2020年,吾等并无记录任何预期的应收财务应收账款信贷损失。截至2021年12月31日,财务应收账款既无逾期,也无减值。


ASML 2021年年度报告193 7.库存,会计政策净额库存成本是按先进先出的原则计算的。我们的库存价值包括采购材料、运费、关税、生产劳动力和可变间接费用。库存的估价包括根据我们的制造和组装设施的正常能力来确定哪些固定成本应该资本化到库存中。在生产低于我们既定的正常产能期间,我们的固定间接费用、运费和浪费材料中的异常金额不会计入库存,而是在发生时计入销售成本。根据对未来需求和市场状况的假设,存货以成本或可变现净值中的较低者进行估值。对存货的估价还要求我们为有缺陷、陈旧或过剩的存货建立准备金。我们使用我们的需求预测来制定制造计划,并利用这些信息与原材料、在制品和成品水平进行比较,以确定缺陷、过时或过剩库存的数量。库存包括:截至2021年12月31日的年度(欧元,百万)2021年原材料2,073.4 2,668.3在制品1,805.0 1,749.9成品1,164.2 1,179.0库存总额5,042.6 5,597.2报废津贴(473.2)(418.0)库存净额4,569.4 5,179.2与2020年相比,2021年库存增加的原因是客户需求增加,我们最新技术的成本上升以及安装基础不断扩大。库存准备金变动情况摘要如下:2021年12月31日终了年度(欧元,百万欧元)2020年2021年年初余额(494.3)(473.2)本年度汇率变动的影响(192.4)(180.7)年终准备金利用率212.7 242.0年终余额(473.2)(418.0)2021年、2020年和2019年的增加额计入销售费用。这一年增加的主要是库存项目,这些项目由于技术发展和设计变化而过时。2021年在销售成本中确认的库存成本为68.07亿欧元(2020年:54.033亿欧元;2019年:49.3亿欧元)。


ASML年度报告2021年194 8.其他资产9.对联营公司的投资会计政策我们能够施加重大影响但不受控制的股权投资,使用权益法入账,并在我们的联营公司投资综合财务状况表中列报。截至收购日,我们的投资成本与我们在被投资人相关净资产账面价值中的比例份额之间的差额是基差。基准差额根据收购日(即吾等获得重大影响的日期)的公允价值分配给可识别资产和负债,投资的超额成本超过可识别资产和负债的比例公允价值即为权益法商誉。我们按产生差额的其他无形资产的估计剩余使用年限摊销与其他无形资产有关的基准差额。收购的有限寿命无形资产的剩余加权平均寿命为15.1年,并使用直线法进行摊销。正在进行的研发最初按公允价值作为尚未准备好使用的无形资产进行资本化,并于其后评估减值。当研发项目完成时,它被重新分类为可摊销的购入无形资产,并在其预计使用寿命内摊销。如果项目被放弃,我们将在放弃期间在我们的综合损益表中记录相关无形资产价值的全部基础差额费用。权益法商誉不会摊销或测试减值;相反,当事件或情况变化显示投资的账面价值可能无法收回时,权益法投资便会测试减值。根据权益法,于初步按成本确认后,吾等于联营公司的投资将按吾等按比例计入被投资公司的损益及其他全面收益进行调整,并于一个季度的滞后时间确认,以便及时编制财务资料,并在联营公司投资的利润内列报。我们在被投资方利润或亏损中的比例份额将根据会计原则和政策、基差调整和实体内利润的任何差异进行调整。收到股息会减少我们对联营公司的投资,这是根据分配的性质作为运营现金流列报的。其他流动和非流动资产包括:截至12月31日的年度(欧元,预付款给蔡司SMT有限公司1 265.8 288.5预付费用115.9 113.2应收增值税125.6 136.7其他资产92.6 148.8其他流动资产599.9 687.2预付款给蔡司SMT有限公司1 668.0 694.3贷款给蔡司SMT有限公司1-124.4预付费用55.2 41.0补偿计划资产67.0 81.4非流动应收账款22.6 8.0其他资产14.9 15.0其他非流动资产827.7 964.1 1.有关卡尔·蔡司SMT有限公司其他资产的进一步详情,请参阅附注26。关联方。预付费用主要包括维护预付款和截至2021年12月31日与IMEC联合开发项目相关的合同余额3030万欧元(2020年:5380万欧元)。2018年底,我们与IMEC启动了新的联合开发计划,根据该计划,我们主要提前交付系统和服务,并在截至2024年的整个合同期内接受研发服务。非流动资产和流动其他资产的账面价值接近公允价值。


ASML年度报告2021年195联营公司的投资包括于2017年6月29日收购卡尔·蔡司SMT Holding GmbH&Co.kg的24.9%股权,这是一家有限合伙企业,拥有我们的光学柱子的单一供应商Carl Zeiss SMT GmbH。在截至2021年12月31日的年度,我们在综合损益表中记录了与投资相关的利润1.991亿欧元(2020年:8860万欧元)。这一利润包括以下组成部分:·与我们在Carl Zeiss SMT Holding GmbH&Co.kg的股份相关的2.465亿欧元(2020年:1.114亿欧元)的利润,包括2021年与Carl Zeiss SMT Holding GmbH&Co.Kg之前递延收入相关的7900万欧元的收益,由于签订了新的框架协议·与无形资产相关的基差摊销产生的成本为2670万欧元(2020年:2670万欧元)·由于公司间利润减少2070万欧元(2020年:欧元(390万欧元)),2021年我们从Carl Zeiss SMT Holding GmbH&Co.kg获得了1.68亿欧元(2020年:1.281亿欧元)的股息。卡尔·蔡司SMT Holding GmbH&Co.KG是一家私人持股公司;因此,无法获得其股票的市场报价。卡尔·蔡司SMT Holding GmbH&Co.kg不包括基差调整,在会计政策调整之前,使用一个季度滞后的财务信息摘要如下:截至12月31日的年度(欧元,非流动资产总额691.6 898.1流动资产总额1,545.5 1,751.6非流动负债总额464.0 513.6流动负债总额1,676.7 1,914.7损益汇总表和全面收益:净销售额总额1,813.9 2,267.8净收入550.4 727.3其他全面收入(6.1)75.3全面收入544.3 802.6对卡尔·蔡司SMT Holding GMBH&Co.KG的净收入和利润10.子公司的业务合并和资产剥离会计政策收购按收购方式计入。收购成本是根据按公允价值转移的代价、分配的可识别资产的公允价值以及于收购日期(即吾等取得控制权的日期)产生或承担的负债的公允价值计量的。商誉被资本化为被收购子公司成本的超额部分,扣除分配给被收购的可识别资产和产生或承担的负债的金额。与收购有关的成本在发生或接受服务期间发生时计入费用。在我们的综合损益表中报告的与对联营公司的投资相关的情况如下:截至2010年12月31日的年度(欧元,以百万为单位)2020年2021年净收入550.4 727.3 ASML在净收入中的份额137.0 181.1会计政策调整(25.6)65.4基差调整(26.7)(26.7)公司间利润抵消3.9(20.7)与联营公司投资相关的ASML利润88.6 199.1


ASML年报2021年196业务合并2020年10月30日,我们完成了对柏林Glas的收购,并通过收购柏林Glas 100%的已发行股本获得了控制权,总代价为2.571亿欧元。柏林格拉斯是世界领先的光学关键部件、组件和系统供应商之一。总对价分配给8790万欧元的商誉、3.121亿欧元的收购资产和1.429亿欧元的负债。或有对价于2021年以现金支付。收购柏林Glas产生的大部分商誉归因于收购将帮助我们实现我们的战略目标,即确保未来光刻系统的升级和推出。所有商誉都已分配给ASML报告股。所有确认的商誉预计都不能在所得税中扣除。资产剥离在2021年期间,我们出售了在收购柏林Glas时收购的非半导体业务。这些出售的收益总计3.394亿欧元,主要与2021年11月30日出售医疗应用公司和瑞士光学业务有关。剩余的收益来自于2021年4月30日出售柏林Glas Technology Glas业务。在这些交易中确认了2.137亿欧元的税前收益,这些收益记录在我们综合损益表的其他收入(亏损)项中。11.商誉会计政策商誉是指收购成本超过被收购子公司在收购之日分配给被收购子公司的资产和负债的公允价值的部分。收购附属公司之商誉分配予政府一般单位作减值测试之用。分配给预期将从产生商誉的业务合并中受益的CGU。商誉按成本减去累计减值损失列报。商誉每年或当事件或环境变化显示商誉的账面值可能无法收回时进行减值测试。如果CGU的可收回金额少于该单位的账面金额,减值损失将首先分配给该单位,以减少分配给该单位的任何商誉的账面金额,然后按单位内每项资产的账面金额按比例分配给该单位的其他资产。确认为商誉的减值损失不会在随后的期间冲销。商誉主要来自对Cmer和HMI的收购。截至2021年12月31日的余额为45.771亿欧元(2020年:46.506亿欧元)。减少7350万欧元是2021年柏林Glas非半导体业务剥离的结果。我们已经确定了两个CGU,CGU ASML和CGU Cmer光源。截至2021年12月31日,分配给CGU ASML的商誉金额为41.192亿欧元(2020年:41.927亿欧元),CGU Cmer光源公司的商誉金额为4.579亿欧元(2020年:4.579亿欧元)。ASML CGU。CGU的可收回金额基于与前一报告期一致的在用价值计算。使用价值的计算是通过对持续使用CGU产生的税前未来现金流量进行贴现进行的。预测的五年后的现金流是使用0%的增长率进行外推的。这些估计与我们用来管理基础业务的计划和估计成本一致。用于确定预期贴现未来现金流的税前WACC为CGU ASML的10.5%和CGU Cmer光源的9.6%。根据吾等在年度商誉减值测试中所作的评估,吾等相信CGU的可收回金额极有可能超过其账面值,因此截至2021年12月31日,商誉并未减值。


无形资产,会计政策无形资产包括内部产生的无形资产、品牌、知识产权、已开发的技术、客户关系和其他尚未使用的无形资产。这些有限年限的无形资产按成本、减去累计摊销和累计减值损失列报。摊销是根据资产的估计使用年限采用直线法计算的。当有迹象显示资产负债表账面金额可能无法收回时,有限年限无形资产就会按使用年限的现金流量预测进行减值评估。下表显示了无形资产各自的使用年限:类别估计使用寿命品牌20年开发支出1-5年知识产权3-10年开发技术6-15年客户关系8-18年其他2-10年内部产生的无形资产-研究活动的开发支出在发生期间确认为成本。欧盟《国际财务报告准则》要求,只有在能够证明某些标准的情况下,才能将发展支出资本化。只有在我们能够证明以下所有条件的情况下,我们才能确认我们开发产生的内部产生的无形资产:·完成无形资产以便可以使用或出售的技术可行性·完成无形资产并使用或出售它的意图·使用或出售无形资产的能力·所创造的资产将产生未来经济效益的可能性·是否有足够的技术、财政和其他资源来完成开发并使用或出售无形资产·能够可靠地衡量无形资产在开发期间用于某些开发项目的支出,不可能将发展活动与研究活动分开(2021年和2020年分别约为1.66亿欧元和1.227亿欧元)。因此,我们无法可靠地确定发生的开发支出金额,因此没有将这些计划的金额资本化。


ASML年度报告2021年198截至2021年12月31日无形资产主要由开发支出、品牌、知识产权、开发的技术和从收购HMI(2016)和Cmer(2013)获得的客户关系组成:欧元,以百万欧元为单位开发支出品牌知识产权开发的技术客户关系其他1月1日的总成本余额,2020年2,691.8 38.9 142.4 1,200.1 228.6 110.5 4,412.3通过业务合并进行的收购-30.0-2.3 32.3增加620.9-2.5--33.4 656.8处置(41.3)-(0.2)(41.5)汇率变动的影响(3)-(0.1)--(0.1)(3.2)12月31日的余额,2020年3,268.4 38.9 144.8 1,230.1 228.6 145.9 5,056.7新增685.4-45.6 731.0撤资--(9.9)-(0.8)(10.7)处置(0.7)-(0.5)(1.2)汇率变动的影响2.6-(0.2)2.4 12月31日的余额,2021年3,955.7 38.9 144.8 1,220.2 228.6 190.0 5,778.2截至2020年1月1日的累计摊销结余1,276.8 9.2 70.6 428.6 83.2 24.5 1,892.9摊销427.7 1.9 8.2 82.1 12.7 18.6 551.2处置(40.4)-(0.2)(40.6)汇率变动的影响(0.5)-(0.5)12月31日的结余2020年1,663.6 11.1 78.8 510.7 95.9 42.9 2,403.0摊销391.6 1.9 8.4 84.2 12.7 25.8 524.6减值费用-撤资--(0.9)-(0.4)(1.3)处置-(0.4)(0.4)汇率变动的影响(0.3)--(0.3)(0.6)12月31日余额,2021年2,054.9 13.0 87.2 594.0 108.6 67.6 2,925.3账面金额2020年12月31日1,604.8 27.8 66.0 719.4 132.7 103.0 2,653.7 2021年12月31日1,900.8 25.9 57.6 626.2 120.0 122.4 2,852.9 2021年的开发支出主要集中在支持我们在极紫外、深紫外和应用领域的整体光刻解决方案的计划上。2021年,这些活动主要涉及开发High-NA,我们的下一代0.55NA系统,干式系统XT:860N和XT:870,NXE:3600D系统,以及进一步开发YeldStar和过程窗口控制解决方案。在我们截至2021年12月31日的无形资产中,20.232亿欧元是内部产生的(2020年12月31日:17.078亿欧元)。这些无形资产包括在发展支出和其他支出中。综合经营报表包括以下摊销费用:截至2019年12月31日的年度(欧元,百万欧元)2019年2021年销售成本477.1 529.5 499.4研发成本7.5 12.0 14.5 SG&A 10.5 9.7 10.7截至2021年12月31日的摊销总额495.1 551.2 524.6,其他尚未可用的无形资产,包括在开发支出中的金额为11.215亿欧元(2020年:5.206亿欧元),并分配给CGU ASML 11.154亿欧元和CGU Cmer光源610万欧元。这一增长主要是由于2021年持续的高净资产投资。截至2021年12月31日,尚未列入其他项目可供使用的无形资产达2360万欧元(2020年:2480万欧元),并分配给CGU ASML。


ASML年报2021年199在2021年期间,我们没有记录减值费用(2020年:000万欧元;2019年:000万欧元)。截至2021年12月31日,未来5年及以后无形资产的估计摊销费用:欧元,以百万为单位,2022 428.5 2023 383.7 2024 481.3 2025 423.7 2026 366.4此后769.3总计2,852.9 13.财产、厂房和设备净额会计政策财产、厂房和设备按成本减去累计折旧和累计减值损失。ASML制造的资产成本包括直接制造成本、生产管理费用和在建设期间为符合条件的资产产生的利息成本。物业、厂房及设备于其估计使用年限内于综合损益表中按直线原则折旧,但未折旧的土地除外。根据经营租赁租赁给我们客户的评估系统按成本作为物业、厂房和设备资本化,并在各自的租赁期内折旧。租赁期届满后归还给ASML的租赁资产要么被带回房地产、厂房和设备,因为它们将被D&E内部使用,要么被转移回库存进行返工和出售。拟出售但首先在内部使用一年以上用于研发目的的原型、工具和设备的账面价值从库存重新分类到财产、厂房和设备,并在内部使用时折旧。当研发活动不再需要时,资产的账面价值被重新归类到库存并重新处理,以准备出售给我们的客户。在我们的财产、厂房和设备转移计划中,这些转移被报告为进出库存的净非现金转移。物业、厂房及设备如有迹象显示账面值可能无法按使用年限的现金流量预测收回,便会评估其减值。下表显示了房地产、厂房和设备各自的使用年限:估计使用年限建筑物和建筑5-45年机器和设备1-7年租赁改进1-10年家具、固定装置和其他3-5年


ASML年度报告2021年200物业、厂房和设备包括以下内容:欧元,以百万欧元计土地和建筑机械和设备租赁改善家具、固定装置和其他总成本余额截至1月1日,2020年2,036.5 1,587.8 301.0 377.7 4,303.0通过业务组合进行的收购49.1 65.7-10.3 125.1新增359.3 263.0 45.7 43.4 711.4处置(0.4)(53.6)(5.2)(9.0)(68.2)进出库存的非现金净额-(23.9)-(23.9)汇率变化的影响(12.3)(10)。1)(1.2)(1.8)(25.4)12月31日的结余,2020年2,432.2 1,828.9 340.3 420.6 5,022.0新增372.7 389.6 33.2 65.3 860.8撤资(17.9)(13.4)-(4.7)(36.0)处置(0.5)(199.1)(7.5)(70.3)(277.4)进出库存的非现金净流动-11.9--11.9汇率变化的影响17.2 10.8 2.6 3.2 33.8在12月31日的结余,2021年1月1日累计折旧及减值结余2,803.7 2,028.7 368.6 414.1 5,615.12020年746.3 746.3 1,022.7 281.3 253.4 2,303.7折旧102.0 186.2 21.4 42.1 351.7减值费用-2.7--2.7处置(0.1)(51.6)(4.7)(9.0)(65.4)进出库存的非现金净额-(29.9)-(29.9)汇率变化的影响(5.6)(3.9)(0.7)(0.9)(11.1)12月31日的结余,2020年842.6 1,126.2 297.3 285.6 2,551.7折旧95.6 167.1 15.9 43.0 321.6减值费用3.1 8.2 0.2-11.5撤资(0.6)(4.4)-(2.5)(7.5)处置(0.4)(181.2)(3.9)(69.7)(255.2)进出库存的非现金净额-(7.9)--(7.9)12月31日汇率变动的影响7.4 7.6 1.5 1.7 18.2余额,2021年947.7 1,115.6 311.0 258.1 2,632.4账面金额2021年12月31日1,589.6 702.7 43.0 135.0 2,470.3 2021年12月31日1,856.0 913.1 57.6 156.0 2,982.7截至2021年12月31日,账面金额包括在建资产6.959亿欧元(2020年:6.764亿欧元),主要包括土地和建筑物,以及机械和设备。截至2021年12月31日,土地账面价值达1.375亿欧元(2020年:1.024亿欧元)。2021年增加的土地和建筑,以及家具、固定装置和其他,与建设ASML的物流设施、EUV 0.55 NA(High-NA)工厂和我们位于Veldhoven的总部的办公空间有关,以支持我们的持续增长。2021年在机械和设备方面的新增主要涉及升级和扩大生产工具,以支持我们的业务增长,以及对新技术原型的投资。2021年增加的租赁改进涉及为美国和韩国的租赁物业安装干净的房间和办公空间。于二零二一年期间,我们并无订立任何需要进一步改善租赁投资的额外租约。


2021年201ASML年度报告综合损益表包括以下折旧费用:截至2019年12月31日的年度(欧元,以百万为单位)2019年2021年销售成本196.1 205.9 188.6研发成本117.2 119.9 101.4 SG&A 12.0 25.9 31.6总折旧325.3 351.7 321.6 14.使用权资产和租赁负债会计政策我们在开始时确定安排是否为租赁。租赁计入综合财务状况表中的使用权资产、应计及其他流动负债、应计及其他非流动负债。我们有一个无形租赁,其相关租赁负债计入长期债务的当前部分,长期债务计入我们的综合财务状况表,因为这与管理层对该租赁的看法一致。使用权资产代表我们在租赁期内使用标的资产的权利,租赁负债代表我们因租赁而产生的支付租赁款项的义务。使用权资产及租赁负债于开始日期根据租赁期内租赁付款的现值确认。由于我们的租赁不提供隐含利率,我们使用基于开始日期可获得的信息的递增借款利率来确定租赁付款的现值。使用权资产包括在生效日期或之前支付的任何租赁付款,并因租赁奖励而减少。我们的使用权资产估值可能包括在合理确定我们将行使该选择权时延长或终止租约的选择权。租赁费用在租赁期内按直线原则确认。我们有租赁和非租赁组件的租赁协议。租赁部分与非租赁部分分开核算。租赁和非租赁组成部分之间的对价分配是根据租赁合同中包括的租赁组成部分的相对独立价格计算的。使用权资产包括以下租赁:截至2012年12月31日的年度(欧元,百万欧元)2020年2021年物业288.9 149.7汽车7.6 6.7设备34.1-仓库11.0 7.5其他3.3使用权资产344.9 164.8 ASML拥有我们在荷兰维尔德霍温总部用于制造、供应链管理和一般行政的大部分房地产。在我们的其他地点,我们在世界各地的许多物业都是租赁的。2020年的使用权资产包含1.499亿欧元的设施和工具,这些设施和工具与我们与Carl Zeiss SMT的High-NA协议有关,资金由ASML预付。这项协议被一项新的框架协议取代。在新协议签署后,这些资产不再符合租赁的定义。它们在2021年被归类为其他资产的一部分。详情见附注26关联方。租赁负债分为流动负债和非流动负债:截至2012年12月31日的年度(欧元,单位:百万)2020年2021年流动负债51.2 46.6 120.3租赁负债189.1 166.9


ASML 2021年年报202截至2021年12月31日的年度,租赁负债减少2220万欧元,主要是由于预定的租赁付款,部分被新的租赁合同抵消。综合损益表包括以下与这些租赁有关的折旧费用:截至12月31日年度(欧元,单位:百万)2019 2020 2021物业51.0 51.7 52.2汽车8.1 5.5 4.8设备4.5 7.0-仓库4.5 6.6 3.0其他12.4 5.9 2.4折旧使用权资产80.5 76.7 62.4与租赁负债有关的现金流总额如下:与租赁相关的加权平均剩余租赁期限和加权平均贴现率如下:截至12月31日的年度(欧元,单位:百万)2019 2020 2021加权平均剩余租赁期限(月)118 147 62加权平均贴现率(%)2.1%1.3%1.9%15应计负债和其他应计负债及其他负债包括以下内容:截至12月31日的年度(欧元,应支付的成本233.9 352.0与人员有关的项目757.4 864.7租赁负债1 176.3 161.7准备金84.8 91.2标准保修准备金119.1 145.3其他12.068.9应计负债和其他负债1 383.5 1 683.8减去:应计负债的非流动部分257.5 251.1应计负债和其他负债的流动部分1 126.0 1 432.7 1.经营租赁负债的进一步详情见附注14使用权资产和租赁负债截至2021年12月31日,应支付的成本包括增值税应付款和供应商提供的未计费服务的应计成本,包括合同工、外包服务和咨询。与人员有关的项目主要包括应计年度短期激励奖金计划、应计休假天数、应计养老金保费、应计工资税和应计假期津贴。应计人事相关项目较上年有所增加,主要是由于我们的业务持续增长,导致员工人数增加。


ASML年度报告2021年203 16.长期债务、财务收入和财务成本会计政策长期债务是指未经政府当局登记而私下发行的债务,可根据已签署的协议的条款支付给他人。长期债务最初按公允价值确认,随后按摊销成本计量。只要集团有权无条件地在报告期后至少12个月内延迟清偿债务,债务就符合长期债务的条件。与长期债务有关的应计利息和付款作为应计负债和其他负债的一部分入账。利息和其他费用应随着商定期限内的时间推移而应计和记录,无论利息是在何时收到或支付的。长期债务包括:截至2021年12月31日的年度(欧元,以百万为单位)2020年7月发行的5亿欧元0.625%优先债券,2022年7月7日到期的本金,2013年9月发行的7.5亿欧元3.375%的优先债券,2023年9月19日到期的本金,2016年7月发行的780.6欧元10,000,1.375%的优先债券,2026年7月7日到期的本金,账面金额1028.0 1,003.2欧元7.5亿1.625%2016年11月发行、本金将于2027年5月28日到期的优先债券,账面金额795.4 769.3欧元7.5亿0.250%2020年2月发行、本金将于2030年2月25日到期的优先债券,账面金额740.7 741.7欧元7.5亿0.625%2020年5月发行的优先债券、本金2029年5月7日到期的利息,账面金额746.8 747.1用柏林Glas购入的债务36.4其他8.25.3长期债务4,678.2 4,584.1减少:长期债务的当前部分15.4 509.1长期债务的非流动部分4,662.8 4,075.0所有优先票据均可随时通过支付全额溢价赎回全部或部分,除非先前赎回,否则将在到期日按本金的100%赎回。标准保修准备金基于产品的历史性能和履行保修义务所需的总预期成本。我们每年根据最新的实际历史保修成本和预期的未来保修成本来评估和更新标准保修准备金。2021年和2020年标准保修准备金的总变化如下:截至2012年12月31日的年度(欧元,以百万为单位)2021年年初余额128.4 119.1 137.1年度新增188.6准备金使用情况(145.9)(162.8)汇率影响(0.5)119.1年末余额119.1 145.3


ASML年报2021年204根据优先票据和其他借款安排偿还本金的义务截至2021年12月31日:欧元,2022年508.6 2023年755.9 2024年4.5 2025年4.5 2026年1 004.5此后2,263.6 2022年到期债务总额4,541.6,该等债务主要涉及2022年7月7日到期的优先票据的本金偿还。其后年度主要用于偿还长期优先票据的本金。欧元债券下表汇总了我们的未偿还欧元债券的账面价值,包括用于对冲欧元债券公允价值变化的利率掉期的公允价值:截至2021年12月31日的年度(欧元,百万欧元)2020年2021年摊销成本金额4,474.1 4,478.5公允价值利率掉期1 140.4 63.9账面金额4,614.5 4,542.4 1.利率掉期的公允价值不包括应计利息。我们使用利率互换,通过调整可用现金和计息债务的利息条款,将集团的净利息敞口降至最低。该等利率互换的公允价值变动于综合财务状况表衍生金融工具项下记录,而欧元债券的账面值则根据该等公允价值变动作出调整。我们没有就2020年发行的欧元债券进行额外的利率互换。下表汇总了我们的欧元债券的估计公允价值:截至12月31日的年度(欧元,以百万为单位)2020 2021本金金额4,500.0 4,500.0账面金额4,614.5 4,542.4公允价值1,798.8 4,673.9 1。我们的欧元债券的公允价值是根据2021年12月31日的报价市场价格估计的。公允价值偏离本金金额,是由于我们发行了固定票面利率的欧元债券以来,市场利率和信用利差发生了变化。下表汇总了融资活动引起的负债变化,包括现金流量和非现金变化引起的变化:欧元,以百万欧元为单位的长期债务租赁负债在2020年1月1日的总余额3,098.1 10.2 3,108.3现金流量1,486.3(2.8)1,483.5非现金变化:公允价值调整25.6-25.6通过柏林Glas业务组合获得的债务50.7 4.8 55.5其他4.7 0.6 5.3 12月31日的余额,2020年4,665.4 12.8 4,678.2现金流量(7.5)(4.6)(12.1)非现金变化:公允价值调整(76.5)-(76.5)其他(2.5)(3.0)(5.5)截至2021年12月31日的余额4,578.9 5.2 4,584.1


2021年ASML年报205收购柏林Glas的债务柏林Glas的贷款包括一笔2,410万欧元的抵押贷款,年利率为0.5%,于2034年偿还,多家金融机构的循环信贷安排,年利率在0.8%至1.2%之间,至2024年每年偿还。与2020年相比,债务有所减少,原因是2021年偿还了债务。信用额度我们与多家银行保持着可用承诺信贷安排,截至2021年12月31日和2020年12月31日,总额为7.0亿欧元。截至2021年底和2020年底,承诺信贷安排下没有未偿还的金额。这笔7.0亿欧元的贷款于2019年7月3日重新谈判,原到期日为2024年7月3日。该设施包括两个延长一年的选项。第二次延期一年是在2021年6月。这将期限从2025年7月延长至2026年7月。根据这一信贷安排,未偿还金额将产生Euribor加保证金的利息。利润取决于我们的信用评级和ESG分数。我们有一个8500万欧元的未承诺担保机制,根据该机制,可以向第三方提供正常业务过程中的担保,如海关或租金担保。截至2019年,ASML为我们的中国子公司提供了1.3亿欧元的未承诺信贷安排。未承诺信贷安排包括银行担保、备用信用证以及最高可达7500万欧元的预付款。在这项贷款下,没有未偿还的金额。未承诺贷款项下的未偿还金额将根据提款时的市场情况计入利息。融资成本融资成本为5460万欧元(2020年:4330万欧元;2019年:3660万欧元)。这些支出主要涉及我们的欧元债券的利息支出、利率掉期和对冲、摊销融资成本以及现金和现金等价物的负利息。17.承付款和或有承付款我们有各种合同债务,其中一些必须在我们的财务报表中记为负债,包括长期和短期债务和租赁承付款。其他合同义务,即购买义务,一般不需要确认为负债,但需要披露。截至2021年12月31日,我们的合同债务可概括如下:按期限(欧元,以百万为单位)到期的付款总额1年2年3年4年5年>5年长期债务债务,包括利息1,806.9 570.3 814.2 37.5 37.6 1,037.7 2,309.6租赁债务2 161.7 43.7 35.7 21.3 16.6 15.4 29.0购买债务8,527.4 6,974.0 814.1 405.7 223.4 74.2 36.0合约债务总额13,496.0 7,588.0 1,664.0 464.5 277.6,127.3 2,374.6 1.长期债务主要与我们的欧洲债券的本金及利息支付有关。关于不包括利息支出的金额和进一步的细节,请参阅附注16长期债务、利息和其他成本。2.详情见附注14使用权资产和租赁负债。在正常业务过程中,我们对供应商负有采购义务,主要涉及我们运营所需的商品和服务。截至2021年12月31日,与我们的大部分购买义务有关的协议的一般条款和条件包含一些条款,使我们能够根据未来销售的时间,将订购的商品和服务的交付推迟或取消到采购协议中指定的日期。我们通常与供应商商定的条款和条件给了我们额外的灵活性,使我们能够根据我们所在行业固有的周期性和技术发展来调整我们的采购义务,以适应我们的要求。或有事项ASML受到诉讼、诉讼和其他实际或潜在索赔的影响。此外,ASML的客户可能会受到第三方的侵权索赔,指控这些客户在半导体产品制造中使用的ASML设备和/或与ASML设备的使用相关的方法侵犯了向这些第三方颁发的一项或多项专利。如果这些索赔成功,ASML可能被要求赔偿这些客户因该侵权行为而遭受的部分或全部损失或评估的损害。


ASML年度报告2021年206 18.与任何诉讼和索赔相关的人员费用和员工信息,我们的管理层根据相关事实和法律原则,评估不利(或有利)结果的可能性,以及损失(或收益)的金额是否可以合理估计。在这些评价中需要作出判断,包括对所称索赔的有效性以及法律和行政诉讼的可能结果作出判断。然而,这些诉讼的结果受到一些我们无法控制的因素的影响,最明显的是与法院和行政机构的预测决定有关的不确定性。此外,对与法律和行政诉讼有关的潜在费用(或收益)的估计常常不能进行任何敏感性分析,因为索赔人提出的损害估计或和解提议可能与最终结果几乎没有关系。最后,在任何特定诉讼中,我们可能同意和解或终止我们认为最终会胜诉的索赔或诉讼,如果我们认为这样做,与其他相关的商业考虑因素结合在一起,比参与昂贵和旷日持久的诉讼更有效,而诉讼的结果是不确定的。截至2021年12月31日,管理层已确定ASML没有在我们的综合财务状况报表中列报的每一年被认为可能或合理地可能存在的任何重大或意外事件。所有薪酬员工的人事支出如下:截至2019年12月31日的年度(欧元,以百万为单位)2019年2021年工资和薪金2,124.4 2,519.6 2,842.7社会保障支出181.9 208.1 249.8养老金和退休支出152.5 182.6 229.2股份支付74.6 53.9 117.5员工支出2,533.4 2,964.2 3,439.2人事支出持续增加主要是由于薪酬员工人数的增加支持我们业务的持续增长。2020年的人事费用不包括柏林GLAS的任何费用,因为ASML使用一个季度的滞后来合并柏林GLAS。全职员工的平均就业人数为:全职员工总数2019 2020 2021荷兰11,376 12,812 14,222全球全职员工22,192 24,727 28,223截至12月31日,各行业全职员工和临时员工总数为:截至2019年12月31日的年度(全职员工总数)2019年2021客户支持5,953 6,429 7,485制造和供应链管理5,933 7,680 8,237战略供应管理326 346 707一般与行政1,898 2,061 2,761销售和成熟产品和服务624 744 766研发10,166 10,813 12,060 24,900 28,073 2,016:临时员工1,681,459,2,155员工23,219,614,29861短期激励计划我们为员工制定了年度绩效相关短期激励(STI)奖金计划。根据这些计划,员工的奖金支出取决于员工的工作级别、奖金计划的类型和公司/个人的表现。员工的奖金支出(不包括管理委员会)在其年度基本工资总额的117.0%至0%之间。2021年STI奖金作为综合财务状况表中应计负债和其他负债的一部分应计,将于2022年第一季度支付。


ASML年度报告2021 207 19.员工福利会计政策固定缴款退休福利计划的缴款在员工提供了使其有权获得缴款的服务后被确认为费用。向国家管理的退休福利计划支付的款项作为对固定缴款计划的付款处理,如果我们在计划下的义务与固定缴款退休福利计划中产生的义务相同。我们维持一个多雇主工会固定收益养老金计划和各种其他固定缴款养老金计划,覆盖我们的大部分员工。ASML将其多雇主定义福利计划视为固定缴费计划,原因如下:·ASML隶属于一个全行业的养老基金,并与其他参与公司共同使用养老金计划·根据养老金计划的规定,这些参与公司对养老基金的唯一义务是支付年度保费负债。参与计划的公司没有任何义务来支付养老金计划可能产生的任何赤字。他们也没有要求任何潜在的盈余我们所有员工在截至2021年12月31日、2020年和2019年12月31日的年度的养老金和退休费用是:截至12月31日的年度(欧元,以百万为单位)2019年2021年基于多雇主工会计划的养老金计划96.6 126.8 161.7基于固定缴费和其他计划的养老金计划55.9 55.8 67.5养老金和退休费用152.5 182.6 229.2根据我们经营的行业有效的集体谈判协议制定的多雇主工会计划,没有到期日,荷兰有15,414名符合条件的员工(占我们总薪资员工总数的51.6%)参加了多雇主工会计划。我们的多雇主工会计划的任何期间的定期净养老金成本是该期间所需的雇主缴费金额。这一多雇主工会计划由PME(Stichting Pensioenfonds Van De Metalektro)管理,该计划涵盖约1,466家公司和约167,768名缴费成员。每一家参与的公司都根据相同的缴费率缴纳保费。这一缴费率可以根据多雇主工会计划的覆盖率每年浮动。2021年,贡献百分比为27.6%(2020年:22.7%,2019年:22.7%)。2021年,我们对这一多雇主工会计划的贡献(包括员工支付的保费)占该计划总贡献的13.6%(2020年:14.0%,2019年:11.7%)。2022年,我们预计将为该计划贡献约2.4亿欧元(包括员工支付的保费)。每个雇员的养老金权利是以雇员在受雇期间的平均工资为基础的。PME多雇主工会计划在全球范围内监测其风险,并受到荷兰政府当局的监管。根据荷兰法律(《荷兰养老金法》),必须根据具体标准对多雇主工会计划进行监测,包括该计划的资产与其债务的覆盖率。覆盖率的计算方法是将基金资本除以养老金负债总额,并以实际市场利率为基础。截至2021年12月31日,公共管理教育的覆盖率提高到107.9%(2020年12月31日:97.2%)。2021年的养老金支出没有减少,因为PME利用了一项延长的临时部长豁免规定。法律规定的最低覆盖率为104.3%(2020年:104.3%)。已经制定了一项恢复计划,以改善管理委员会和其他员工的STI奖金支出如下:截至2019年12月31日的年度(欧元,以百万为单位)2019年2021年管理委员会5.1 5.4 4.4前管理委员会--0.2其他员工269.1 402.5 423.5 STI奖金支出总额274.2 407.9 428.1


ASML年度报告2021年208覆盖率接近118%。ASML没有义务支付养老基金可能产生的任何赤字,我们也没有任何潜在盈余的要求。固定缴费和其他养老金计划我们还参加了其他几个固定缴费养老金计划(在荷兰境内和境外),我们为这些计划支付的费用等于相关时期的雇主缴费。我们美国员工的递延薪酬计划我们有一个不受限制的递延薪酬计划,允许特定的管理层或高薪员工推迟部分工资、奖金和佣金。该计划允许我们将额外的金额贷记到参与者的账户余额中。参与者将他们的资金分配给计划中可用的投资。参与者选择在其终止雇用或退出选举的较早时间后,至少在延期3年后的未来期间收到他们的资金。在2021年、2020年和2019年,与该计划相关的支出几乎为零。截至2021年12月31日,我们在递延薪酬计划下的负债为8240万欧元(2020年:6830万欧元)。相关薪酬计划资产为8140万欧元(2020年:6700万欧元)。20.基于股份的支付ASML为其员工制定了以下计划:·长期激励奖金计划·期权计划·员工购买计划长期激励奖金计划我们的LTI计划由一个总体员工伞形股票计划涵盖,该计划于2014年1月1日生效,覆盖所有员工。根据这项员工保护伞计划授予股权激励的主要目的是继续在国际劳动力市场吸引、奖励和留住合格和经验丰富的行业专业人员。员工保护伞计划下的所有授予通常有2.5至3年的归属期限,并受业绩和/或服务标准的限制。作为我们长期激励(LTI)奖金的一部分,员工可以获得基于服务或绩效份额的薪酬计划。对于服务型计划,股票在授予日期授予,在服务了一段时间后,参与者在授予日期获得这些股票。对于绩效计划,相同的条件适用于服务类型计划。此外,股票是根据公司特定的业绩标准有条件地授予和奖励的,这些标准可以分为市场和非基于市场的要素。这些股份在服务期结束后归属,并在归属日达到业绩。大会批准通过管理委员会的最新薪酬政策和拟发行的股票数量。最新的薪酬政策包括LTI计划的目标和最高水平、业绩衡量标准和支付区百分比。针对员工的政策由管理委员会批准。股东大会还批准了对董事会发行/授予普通股的限制和限制、限制或排除股东应计优先购买权的限制以及代表公司回购普通股的限制和限制。下表显示了2021年批准的LTI业绩计划的业绩标准和相应的权重。LTI绩效计划标准市场/非市场要素权重总股东回报市场30%ROAIC非市场40%技术领先指数非市场20%可持续性非市场10%总计100%


2021年ASML年度报告209 LTI业绩计划计算股票公允价值的最重要假设,其中包括基于市场的业绩标准,截至2019年12月31日的年度2019年2021年授予日以欧元计算的股价199.5 270.7 462.9预期波动率ASML 29.8%28.9%38.5%预期波动率PHLX指数24.8%24.7%35.3%归属期间2.5年2.9年2.9年股息收益率1.1%0.9%0.6%无风险利率(欧元区)(0.8)%(0.6)%(0.8)%风险免息(美国)1.8%1.5%0.2%LTI计划费用,包括管理委员会在内,如下:截至12月31日的年度(欧元,已发生支出总额74.6 53.9 117.5递延税项资产变动(确认为以股份为基础的股权支付)8.2 9.0 14.2已确认所得税优惠(不包括超额所得税优惠)5.9 6.6 8.2未来期间的预期支出总额95.8 85.9 125.4确认这些预期支出的加权平均期间1.6年1.6年1.7年有关本年度授予和归属的股份的详情如下表所示:-以美元计价的截至2019年12月31日的年度2020 2021 20192020 2021年归属日的股份公允价值总额(单位:百万)58.7 124.9 156.9 54.9 133.9 164.0已授予股份的加权平均公允价值190.33 297.05 547.79 206.90 302.75 498.64会计政策基于市场的要素的公允价值在授予日计量,合并了预期归属和归属时的预期价值,使用量身定做的蒙特卡罗模拟模型。由于参与者于归属期间无权享有应付股息及投票权,服务计划及业绩计划的非市场成分的公允价值为授出日期的股价减去归属期间预期股息的现值。服务和非市场业绩计划的条件得到满足的可能性被评估为该公司对最终将授予的股权工具数量的最佳估计的一部分。参与者有权在授予时获得有条件的公司股票授予。绩效计划受到悬崖归属的影响,并按直线记账。纯服务计划受分级归属的影响。因此,该计划的每一期都被视为具有单独公允价值的单独赠款。这意味着每笔分期付款都将单独计量,并计入相关归属期间的费用。在符合所有其他履约条件的情况下,按固定归属水平(归属预期计入公允价值)于归属期间确认以市场为基础的元素的开支。非市场元素及服务计划的开支于归属期间按预期归属水平确认,并于归属期间按需要更新,最后更新/调整于归属日期。于奖励归属期间,所有以股份为基础的薪酬开支均确认为人事开支,并于权益中作出相应入账。以股份为基础的薪酬开支计入职能分组综合经营报表中与支付给领取以股票为基础的奖励的雇员的薪酬相同的一项或多项收入表行。


截至2021年12月31日的有条件流通股状况和截至2021年12月31日的年度变化摘要如下:以美元计价的股份数目授予日的加权平均公允价值授予日的有条件公允价值120,665 547.79 69,440 225.26授予的有条件股份(222,085)273.86(205,945)270.80没收的(1,469)458.46(11,248)349.44截至12月31日的已发行的有条件股份2021年452,205 303.32 297,001 416.07期权计划自2017年以来,我们不再授予任何期权,但仍有未偿还期权可供员工行使。会计政策股票期权授予日的公允价值是用布莱克-斯科尔斯期权估值模型估算的。这种布莱克-斯科尔斯模型需要使用假设,包括预期的股价波动、每笔奖励的估计寿命和估计的股息率。模型中使用的无风险利率是基于一个指数确定的,该指数由以欧元计价的欧洲政府机构债券组成,具有高信用评级,其寿命等于股权结算的基于股份的付款的预期寿命。我们的期权计划通常在3年服务期内授予,任何未行使的股票期权在授予日期后10年到期。授予的期权具有固定的行权价格,相当于我们股票在授予日在阿姆斯特丹泛欧交易所上市的收盘价。以行权价购买股份与有关员工通过扣减他们的工资进行结算,行使股票期权时发行的股票从我们的库存股中扣除。已行使和未行使的股票期权的详情列于下表:截至2019年12月31日的欧元计价年度2020年2021年股票期权行使日的加权平均股价201.52 302.20 583.33 225.70 355.44 658.16已行使股票期权的总内在价值(单位:百万)4.3 4.8 5.7 2.3 3.7 4.1当前可行使期权的加权平均剩余合同期限(年)4.16 3.55 2.81 4.40 3.662.93可行使股票期权的内在价值合计(以百万为单位)17.7 22.4 36.7 11.8 16.9 24.9已行使股票期权的内在价值合计(以百万为单位)17.7 22.4 36.7 11.8 16.9 24.9截至12月31日的股票期权数量和加权平均行使价格,以美元计价的期权数量每股普通股的加权平均行权价(EUR)未偿还的期权加权平均行权价(美元)2021年1月1日68,540 70.02 42,255 86.87可行使的期权数量-行使(10,717)48.77(7,004)69.32没收100 28.77--到期-未行使的期权数量2021年12月31日57,923 73.87 35,251 90.36可行使的期权2021年57,923 73.87 35,251 90.36 1.自2017年起,我们不再向员工授予期权。


ASML《2021年年度报告》211关于相关年度行使的股票期权和截至12月31日的未偿还股票期权的详细情况,2021年以欧元计价的行使价格范围(欧元)未偿还期权的加权平均剩余合约年期(年)行使价格范围(美元)未偿还期权的加权平均剩余合约年期(年)25-40 234 0.08 25-40-0.00 40-50 5,902 0.80 40-50 291 0.05 50-60 5,376 1.95 50-60 1,699 0.62 60-70 12,355 1.94 60-70 393 1.06 70-80 10,92035 70-80 843 1.30 80-90 11,625 3.85 80-90 9,036 2.89 90-100 11,511 3.69 90-100 16,062 3.02 100-110-0.00 100-110,927 3.74总计57,923 2.81总计35,251 2.93员工购买计划我们还向我们的工资单员工提供员工购买计划,但管理委员会除外,他们被排除在此计划之外。通过这项计划,工资单员工有机会使用他们每月的工资来购买我们的股票。员工可以参加该计划的最高金额为其年度基本工资总额的10.0%。当员工保留股份至少12个月时,我们将在初始参与金额的基础上支付20.0%的现金红利。会计政策员工采购计划按权责发生制核算。员工购买计划的股票按季度发行,股票购买价格基于我们上市股票在授予日的收盘价,也就是我们提交季度报告的第二天。员工购买的股票从我们的库存股中扣除。21.所得税会计政策所得税是指当前税种和递延税额的总和。目前的纳税情况是根据本年度的应纳税基数计算的。应课税基数与综合损益表所报告的结果不同,因为它不包括在以前或以后年度应课税或应扣除的收入或费用项目,例如应课税基数与财务业绩之间的时间差异,而且它还不包括从未应课税或可扣除的项目,例如应课税基数与财务结果之间的永久性差异。本公司的税务状况采用于综合财务状况报表日期已实施或实质实施的税率计算。递延税项按综合财务报表中资产及负债的账面值与计算应课税溢利所用的相应税基之间的差额确认。递延税项负债就所有应课税暂时性差异予以确认,而递延税项资产则在可能存在可抵扣暂时性差额的应课税利润的范围内予以确认。如暂时性差额因商誉或在交易中对其他资产及负债的初步确认(业务合并除外)而不影响应课税溢利或会计溢利,则不会确认该等资产及负债。递延税项资产的账面值于每个综合财务状况报表日期审核,并在不再可能有足够的应课税溢利可收回全部或部分资产的情况下递减。2021年,ASML从该计划的股票发行中获得4900万欧元(2020年:3790万欧元,2019年:2720万欧元)。


ASML年度报告2021年212递延税项资产及负债乃根据综合财务状况表日期已颁布或实质颁布的税率(及税法),按预期于清偿负债或已变现资产期间适用的税率计量。递延税项负债及资产的计量反映我们预期于报告日期收回或结算资产及负债账面值的方式所产生的税务后果。递延税项资产及负债于综合财务状况表内予以抵销,当有法律上可强制执行的权利将税项资产与税项负债抵销,而该等税项资产及负债与同一税务机关征收的所得税有关,而我们打算按净额结算我们的税项资产及负债。受所得税处理不确定性影响的综合损益表、利息和与税种负债相关的罚金计入所得税支出。本期税项及递延税项于综合损益表中确认为开支或收入,除非该等税项与直接记入保监处贷方或借方或直接计入权益的项目有关,在此情况下,该等税项亦直接在权益中确认,或产生于业务合并的初始会计科目。就业务合并而言,在计算商誉或厘定吾等在收购实体的可识别资产及负债的公允净值中的权益超出业务合并的成本时,计及税务影响。在计算我们的纳税义务时,涉及复杂税法应用中的不确定因素。我们对任何不确定的税收状况的潜在结果的估计都具有高度的判断性。然而,我们相信,我们已经为所得税待遇方面存在不确定性的税收头寸做了足够的准备。以与我们的预期不一致的方式解决这些不确定性可能会对我们的运营结果、财务状况和现金流产生实质性影响。当可能发生经济资源外流时,我们确认了受所得税处理不确定性影响的税收头寸的负债。对受所得税处理不确定性影响的税务头寸负债的计量,基于最可能金额法或基于ASML对基础风险的最佳估计的预期值法。所得税支出的构成如下:截至12月31日的年度(欧元,单位:百万)2019年2021年当期税额(472.2)(786.8)(1,482.4)递延税金343.4 186.1 418.6所得税支出(128.8)(600.7)(1,063.8)本年度税费(518.9)(747.5)(1,461.1)上一年税费46.7(39.3)(21.3%)本期税费(472.2)(786.8)(1,482.4)税收损失和税收抵免确认的变化(7.6)56.9(37.2)上一年度税收支出9.8 27.0 1.5税率变化-(8.4)(1.0)暂时性差异、税收损失和税收抵免的产生和冲销341.2 110.6 455.3递延税收支出343.4 186.1 418.6荷兰2021年、2020年和2019年的法定税率为25.0%。其他司法管辖区的税额按相关司法管辖区的现行税率计算。2021年有效税率提高到15.2%,而2020年为14.3%。较高的税率主要是由于荷兰的创新盒子税率从7%提高到2021年的9%。


ASML年度报告2021年213所得税支出从荷兰法定税率到实际所得税税率的对账如下:截至12月31日的年度(欧元,2019年%1 2020年%1 2021%1所得税前收入2,691.7 100.0%4,208.9 100.0%6,999.3 100.0%所得税支出基于ASML的国内税率(672.9)25.0%(1,052.2)25.0%(1,749.8)25.0%外国司法管辖区税率的影响4.9(0.2%)1.0%(4.6)0.1%对免税收入7.2(0.税收优惠的调整342.5(12.7)%530.0(12.6)%753.9(10.8)%46.7(1.7)%(39.3)0.9%(21.3)0.3%有关往年递延税项的调整9.8(0.4)%27.0(0.6)%1.5--%负债变动对于不确定的税务状况(8.4)0.3%(38.6)0.9%(22.8)0.3%与收购/重组相关的项目89.8(3.3)%--%35.9(0.5)%未确认的递延税项资产变动7.6(0.3)%(56.9)1.4%(37.2)0.6%联营公司的投资(19.7)0.7%(20.9)0.5%(46.7)0.7%税率变动的影响--%(8.4)0.2%(1.0)-%其他(抵免)和非应纳税项目63.7(2.4)%57.4(1.4)%28.3(0.4)%所得税支出(128.8)4.8%(600.7)14.3%(1,063.8)15.2%1.占所得税前收入的百分比下表中的各个行项目将在下面进行更详细的说明。所得税费用基于ASML的国内税率基于ASML的国内税率的所得税费用基于荷兰法定所得税税率。它反映了本应适用的所得税支出,假设我们的所有收入都应按荷兰法定税率纳税,应纳税基数和财务结果之间没有差异,也没有适用税收优惠。外国司法管辖区税率的影响我们的部分结果是在荷兰以外适用不同税率的国家实现的。影响可能每年有所不同,视乎个别海外司法管辖区的税前溢利而定。对过去几年免税收入的调整在某些司法管辖区,产生的收入的一部分是免税的。随着事实和情况的变化,这一影响在2020年显着减弱,并在2021年保持稳定。有关税务优惠的调整有关税务优惠的调整主要涉及因应用荷兰创新盒子而降低税率,该盒子是荷兰公司税法下的一项安排,根据该机制,与研发有关的合资格收入于2021年须按9.0%的实际税率征收。2020年和2019年的有效创新盒子税率为7%。创新盒子福利是根据荷兰法律和公布的税收政策确定的,根据该政策,ASML与荷兰税务当局之间的协议已确认申请,该协议适用于2023年之前的几年,前提是事实和情况不变。此外,这一类别还包括适用于我们美国集团公司层面的外国衍生无形收入(FDII)扣除的利益。FDII抵扣是美国公司税法下的一项工具,它降低了来自外国市场有形和无形产品和服务的收入的实际税率。2021年的收入高于往年,主要是由于我们荷兰集团公司税前收益水平的提高导致创新箱收益的增加。有关过往年度当期税项的调整有关过往年度当期税项的调整涉及初步估计的所得税与提交的最终企业所得税报税表或与税务机关达成协议的安排之间的差额。2019年的好处主要与FDII扣除有关,这是我们在2018年首次在美国提交的税务申报中考虑到的。


214有关往年递延税项的调整有关往年递延税项的调整亦主要涉及初步估计的所得税与提交的最终企业所得税报税表之间的差额。此外,它还包括对最初记录的递延税金头寸的一些较小的调整。2021年,与前几年类似,实际税率受到不确定税收状况负债变动的影响。2021年的这一趋势主要是由即将与荷兰和外国税务当局就转让定价领域进行的对话,以及我们美国集团公司在FDII扣减方面的不确定性推动的。与收购/重组相关项目的税务影响2019年的影响是由我们于该年完成的HMI集团公司的内部重组推动的。作为重组的结果,一项递延税项资产在2019年被确认为作为重组的一部分转移的无形固定资产的账面与税项差异。在2020年和2021年,这一重组不会对实际税率产生额外影响。2021年的影响涉及部分柏林Glas实体的撤资,即商业交易结果在很大程度上是出于所得税目的的免税。未确认递延税项资产的变化2020和2021年的影响比2019年更高,主要是由于我们在荷兰和美国的集团公司在年内确认了研发和预提税收抵免,因此认为这些在未来几年不太可能实现。对联营公司的投资本项目包括与我们在卡尔·蔡司SMT Holding GmbH&Co.Kg投资有关的所得税支出。与往年相比,2021年的影响有所增加,主要原因是权益法投资的利润增加,以及权益投资的外部基差调整后的税务会计后果。税率变化的影响对2021年有效税率的影响是由于荷兰颁布的自2022年起将一般企业所得税税率提高到25.8%造成的,这影响了我们荷兰财政统一的递延税收资产和负债的估值。其他抵免及非可抵税项目其他抵免及非可抵税项目反映了不可抵扣的预扣税、不可抵扣的共享支出及不可抵扣的餐饮费用等永久性非可抵扣项目对我们法定税率的影响,以及各种税收抵免对我们所得税支出的影响。美国税改年终税位也反映了2017年美国税改的规定,从而考虑到了美国政府发布的指导意见。因此,FDII最终条例的最新指南已从2021年起适用,而不是上述条例所允许的追溯。关于GILTI和BEAT,已决定将其作为长期项目对待。在综合财务状况表上记录的不确定纳税状况(包括应计利息和罚款)和递延纳税状况总额的负债如下:截至2012年12月31日的年度(欧元,百万欧元)2020年2021年不确定纳税状况的负债(203.4)(210.1)递延纳税资产807.4 1,337.6递延纳税负债(198.5)(228.2)递延和其他纳税资产(负债)405.5 899.3


ASML年度报告2021年215不确定税收状况的责任我们在多个司法管辖区开展业务,在这些司法管辖区,我们受到复杂税法的适用。适用这些复杂的税法可能会导致税收状况的不确定性。我们的目标是在与税务当局的讨论中解决这些不确定因素。我们根据IAS 12/IFRIC 23的要求记录不确定的税收头寸,这要求我们估计任何税收头寸的潜在结果。我们对任何不确定的税收状况的潜在结果的估计都具有高度的判断性。我们相信,我们已经为不确定的税收状况做了足够的准备。然而,以与我们的预期不符的方式结算这些不确定的税务状况可能会对我们的综合财务报表产生实质性影响。根据IAS 12/IFRIC 23的要求,截至2021年12月31日,不确定税收头寸的负债为2.101亿欧元(2020年:2.034亿欧元),被归类为递延和其他所得税负债。如果确认,这些不确定的税收状况将影响我们约1.95亿欧元福利的有效税率(2020年:1.547亿欧元福利)。与所得税负债有关的预期利息和罚金已计入不确定税收状况的负债和所得税支出。2021年应计利息和罚款共计440万欧元(2020年:1420万欧元;2019年:640万欧元支出)。对不确定税收状况(不包括利息和罚款)负债的期初和期末余额的对账如下:截至12月31日的年度(欧元,百万欧元)2020 2021年余额,1月(153.5)(138.5)期初余额调整--不同税务管辖区的总列报(27.3%)--毛收入增加--前期税收头寸(66.3%)(15.7%)毛收入减少--前期税收头寸0.510.7%毛收入增加--本期税收头寸(19.3%)(18.0)通过企业合并进行收购--清算106.6 2.5诉讼时效失效14.628.6汇率变动的影响6)不确定税收状况的总负债(138.5)(143.0)应计利息和罚款余额(64.9%)(67.1)不确定税收状况的总负债(203.4)(210.1)我们得出结论,我们对不确定税收状况的负债是适当的。根据现有信息,我们估计,主要由于诉讼时效到期,未来12个月内不确定税收状况的负债将减少2,160万欧元(不包括利息和罚款)。就2020年而言,上期及结算期的税务增加总额主要是与完成我们韩国集团公司层面的税务审计有关。我们在所有开展业务的国家提交所得税申报单,荷兰、美国、台湾、韩国和中国是主要司法管辖区。各司法管辖区的报税表仍然开放供查阅的年份如下:国家/地区年份荷兰2018-2021年美国2015-2021年台湾2016-2021年韩国2017-2021年中国2011-2021年我们经常接受我们所在司法管辖区的税务和其他机构的审查和审计。我们相信,税项及相关利息和罚金已作足够的拨备,而任何因审查而作出的调整,预期不会产生重大的不利影响。


ASML年度报告2021年216递延税项根据综合财务状况表中的分类进行调节的递延税项资产和负债总额的构成如下:递延税项(欧元,以百万为单位)2021年1月1日其他综合损益表2021年递延税项资产:公司间交易产生的未实现利润251.1-104.5-6.9 362.5资本化研发支出152.9-100.7-24.2R&D和其他信贷结转117.2 21.416.4-7.7 162.7库存37.2-(7.2)-1.531.5递延收入125.2-288.0-10.0423.2应计和其他负债87.8-5.7-4.698。1安装和保修准备金16.4-(6.3)-1.2 11.3税额结转损失27.1-(19.9)-0.2 7.4财产,厂房及设备26.9-(10.8)-2.5 18.6租赁负债6.5-16.2-0.5 23.2无形固定资产143.5-143.5以股份为基础的付款19.6-(5.9)14.2 0.6 28.5其他临时差额23.2-7.3(1.0)(2.0)27.5递延税项资产总额总额1,034.6 21.4 488.7 13.2 57.9 1,615.8未确认递延税项资产1(122.5)-(37.2)-(7.9)(167.6)递延税项资产总额,912.1 21.4 451.5 13.2 50.0 1,448.2递延纳税负债:资本化研发支出(154.3)-(39.1)-(193.4)无形固定资产(93.9)2.917.1-(6.0)(79.9)商誉(15.6)-(5.3)-(20.9)使用权资产(6.5)-(16.2)-(0.5)(23.2)财产,厂房及设备(5.4%)-(4.3%)-(1.2%)(10.9%)递延收入(18.2%)--10.3%--(7.9%)借款成本长期债务(1.6%)--0.1%--(1.5%)其他暂时性差异(7.7%)2.54.5-(0.4%)(1.1)递延税项负债总额(303.2)5.4%(32.9)-(8.1)(338.8)递延税项净资产(负债)608.9 26.8 418.6 13.2 41.9 1,109.4分类如下:递延税项资产-非流动807.4 1,337.6递延税项负债-非流动(198.5)(228.2)递延税项净资产(负债)608.9 1,109.4 1.上文披露的未确认递延税项资产涉及研发和其他抵免及可能无法变现的税务影响结转亏损。


ASML年度报告2021年217递延税金(欧元,以百万为单位)2020年1月1日通过业务合并进行的收购合并损益表汇率变化的权益影响12月31日,241.4-12.0-(2.3)251.1资本化研发支出67.4-107.9-(22.4)152.9研发和其他信贷结转60.8-63.7-(7.3)117.2存货49.3-(9.0)-(3.1)37.2递延收入56.8-70.8-(2.4)125.2应计和其他负债73.4 3.8 15.9-(5.3)87.8安装和保修准备金12.3-5.4-(1.3)16.4纳税结转损失12.5-15.3-(0.7)27.1财产,无形固定资产129.8-13.7--143.5以股份为基础的付款16.6-(6.2)9.0 0.2 19.6其他暂时性差异20.3 1.8 0.6 0.5 23.2递延税项资产总额总额781.5 4.6 282.7 9.6(43.8)1,034.6未确认递延税项资产1(73.6)-(56.9)-8.0(122.5)递延税项资产总额,净额707.9 4.6 225.8 9.6(35.8)912.1递延纳税负债:资本化研发支出(111.9)-(42.4)-(154.3)无形固定资产(104.2)(8.9)11.0-8.2(93.9)商誉(6.6)-(9.0)--(15.6)使用权资产(8.1)-1.6--(6.5)财产,--(18.2)借款成本长期债务(1.5)-(0.1)--(1.6)其他临时差额3.5(5.7)(6.6)-1.1(7.7)递延税项负债总额(257.2)(16)5)(39.7)-10.2(303.2)递延税项净资产(负债)450.7(11.9)186.1 9.6(25.6)608.9分类为:递延税项资产-非流动递延税项负债573.4 807.4递延税项负债非流动(122.7)(198.5)递延税项净资产(负债)450.7 608.9 1.上文披露的未确认递延税项资产涉及可能无法变现的研发及其他抵免及税务影响结转亏损。税收影响结转损失和税收抵免截至2021年12月31日确认的结转亏损和研发及其他抵免中的递延税项资产几乎已全部保留。研发和其他信用额度为1.358亿欧元,没有到期日。剩余的2690万欧元的研发和其他信用额度的到期日在2022年至2036年之间。4820万欧元的结转损失的到期日在2022年至2030年之间。与投资外国子公司有关的未确认递延纳税义务总体上,我们的做法和意图是将我们非荷兰子公司的收益无限期地再投资于这些业务,并仅在严格必要或适当的时候和法律允许的情况下进行分配。这类非荷兰子公司的分配所涉税收问题取决于实际分配时适用的当地税收和会计条例。于资产负债表日,并无就境外附属公司未分配利润准备确认任何递延税项负债。这是因为我们能够控制扭转暂时分歧的时机,我们认为在可预见的未来,暂时分歧很可能不会逆转。截至2021年12月31日,未确认的临时差额总额约为2.834亿欧元(2020年:2.4亿欧元)。


ASML年度报告2021年218 22.股东权益股本ASML的法定股本为1.26亿欧元,分为:股份种类股份总额每股面值投票数700,000,000欧元每股0.09欧元9股普通股699,999,000欧元每股0.09欧元1面值为0.09欧元的已发行普通股和缴足股款普通股面值为0.09欧元的已发行和缴足股款普通股如下:截至2019年12月31日的年度发行面值0.09欧元的普通股419,810,706 416,514,034 402,601,613已发行的普通股面值为0欧元。09 5,848,998 2,983,454 3,873,663合共发行普通股,面值0.09 425,659,704,419,497,488,406,475,276,82,915,935股普通股由286名登记持有人持有,登记地址在美国。由于我们的某些普通股是由经纪人和代名人持有的,美国的记录持有人数量可能不能代表实益持有人的数量,也不能代表实益持有人居住的地方。每股普通股由900股零碎股份组成。零碎股份使其持有者有权获得零碎股息,但不赋予投票权。只有那些直接在荷兰的股份登记簿中持有股票的人,才能持有零头股份,这些股份由我们在荷兰的地址为5504Dr Veldhoven,de Run 6501,荷兰,或在纽约的股份登记簿中持有,由JP Morgan Chase Bank,N.A.,P.O.Box 64506,St.Paul,MN 55164-0506,United States持有。根据荷兰证券银行转账交易法,通过荷兰中央证券托管机构EuroClear Nederland维持的存款系统或通过托管信托公司持有普通股的股东不能持有零碎股份。没有发行普通股B股,也没有发行累积优先股。本公司股本中的已发行股份并无特别投票权。2012年,我们向三家主要客户-英特尔、台积电和三星-发行了股票,作为客户共同投资计划(CCIP)的一部分,以加快ASML对EUV的开发。根据这一计划,参与客户为某些开发项目提供资金,并投资于ASML的普通股。目前,只有一个参与客户仍然(直接或间接)持有普通股。某些投票权限制适用于与CCIP相关的普通股。有关该等普通股的投票限制载于ASML与有关客户之间的相关协议。CCIP发行的股票由基金会持有,基金会向CCIP参与者发行存托凭证。在CCIP推出时,共发行了96,566,077份普通股存托凭证。自那以后,随着相关客户在禁售期届满后抛售,这一数字有所下降。目前,无论是根据荷兰法律还是在ASML的公司章程中,对ASML股本中的普通股转让都没有限制。根据ASML的公司章程,累计优先股的每一次转让都必须得到监事会的批准。发行和回购股份我们的董事会有权发行普通股和累积优先股,只要股东大会授权它这样做。管理委员会需要得到监事会的批准才能解决这个问题。大会的授权只能在不超过五年的一定期限内给予,每次可以延长不超过五年。如果股东大会没有授权管理委员会发行股票,股东大会将被授权根据管理委员会的提议发行股票,前提是监事会批准了这种提议。ASML普通股的持有者拥有优先购买权,优先购买权与他们持有的普通股的总面值成比例。这种优先购买权可以受到限制,也可以被排除在外。普通股持有人对任何为对价而发行的普通股不享有优先购买权,但现金或向员工发行的普通股除外。如获股东大会授权,经监事会批准,管理委员会有权限制或排除普通股持有人的优先购买权。


ASML年度报告2021年219在我们的2021年年度股东大会上,管理委员会被授权在2021年4月29日至2022年10月29日期间,根据监事会的批准,发行股份和/或其权利,最多占2021年4月29日我们已发行股本的5%,外加2021年4月29日与合并、收购和/或(战略)联盟相关的额外5%的已发行股本。我们的股东还授权管理委员会在2022年10月29日之前,根据监事会的批准,限制或排除普通股持有人的优先购买权,最多不超过我们已发行股本的5%,与发行股份和/或股份权利的一般授权有关,以及与授权发行股份和/或与合并、收购和/或(战略)联盟有关的股份权利的额外5%。我们可以随时回购我们已发行的普通股,但要符合荷兰法律和我们的公司章程的要求。任何此类回购均须经监事会批准和股东大会授权,授权期限不得超过18个月。在2021年年度股东大会上,管理委员会被授权在2022年10月29日之前进行回购,回购金额最多为2021年4月29日已发行股本的两倍,回购价格介于所购普通股的面值和这些证券在泛欧交易所阿姆斯特丹交易所或纳斯达克市场价格的110%之间。ASML优先股基金会根据荷兰法律成立的ASML优先股基金会(Stichting Pferente Aandelen ASML)已被授予获得ASML股本中优先股的选择权。基金会可在基金会董事会认为涉及ASML的利益、ASML的业务或ASML的利益相关者的利益的情况下行使优先股选择权。在以下情况下可能会出现这种情况:·公开竞购ASML的股票,或者有理由预期这样的竞标将在没有与ASML就此类竞标达成任何协议的情况下进行;或者·基金会董事会认为,一个或多个股东一致行动(试图)行使投票权,严重违反了ASML的利益、ASML的业务或ASML的利益相关者。基金会的目标是照顾到反兴奋剂机构及其所维持和/或隶属于该集团的企业的利益,以尽可能好的方式维护该协会、这些企业和所有相关方的利益,并尽其所能阻止与这些利益相冲突的影响,这可能会影响到该协会和这些公司的独立性或身份,以及与上述有关或可能对其有利的一切。基金会旨在通过收购和持有ASML资本中的累积优先股以及行使这些股份所附带的权利,特别是投票权来实现其目标。优先股购股权赋予基金会获得基金会所需数目的累积优先股的权利,但该数目的累积优先股的总面值不得超过行使优先股选择权时发行的普通股的总面值。认购价将等于它们的名义价值。在最初发行累积优先股时,只需支付认购价的四分之一,其余四分之三的面值仅在ASML召回这一金额时支付。行使优先股选择权可有效稀释已发行普通股的投票权一半。ASML注销和偿还已发行的累积优先股需要得到股东大会的授权,由管理委员会提出并经监事会批准的相关提议。如果优先股选择权被行使,并因此发行累积优先股,ASML将应基金会的要求,启动回购或取消基金会持有的所有累积优先股。在这种情况下,ASML有义务尽快实施回购和相应的取消。注销将导致偿还已支付的金额,并免除支付累积优先股的义务。只有在累计优先股全部缴足股款后,才能进行回购。如果基金会没有要求ASML在这些股份发行后20个月内回购或注销基金会持有的所有累积优先股,我们将被要求召开股东大会,以决定回购或注销这些股份。


ASML 2021年年度报告220基金会独立于ASML。基金会董事会由来自荷兰商界和学术界的四名独立成员组成。基金会董事会于2021年12月31日由下列成员组成:A.P.M.范德普尔先生、S.Perrick先生、A.H.Lundqvist先生和J.Streppel先生。除上述与基金会作出的安排外,ASML尚未建立任何其他反收购装置。Other Reserve ASML是一家根据荷兰法律成立的公司。根据《荷兰民法典》,其他准备金包括在某些情况下必须建立的法定准备金。法定准备金包括套期保值准备金、货币换算准备金、资本化发展支出准备金和联营公司的其他综合收益。法律准备金不能分配给我们的股东。如果任何法定准备金有负余额,对我们股东的分配将被限制在负余额的范围内。2021年和2020年期间其他储备的变化情况如下:(欧元,单位:百万欧元)对冲储备货币转换储备资本化发展支出储备在联营公司总余额中所占的份额1.0 129.9 1,414.9(25.6)1,520.2全面收益表的组成部分:联营公司的联营公司所占的份额--(1.3)(1.3)(1.3)外币换算--(75.1)--(75.1)金融工具,税后净额:衍生金融工具的收益(亏损)(21.0)--(21.0)转移至净收益(2.3)--(2.3)发展支出--192.5-192.5发展支出货币换算-2.62.6--12月31日余额,2020(22.3)57.4 1,604.8(26.9)1,613.0全面收益表组成部分:来自联营公司的保单份额-22.0 22.0外币折算-95.4--95.4金融工具,22.2-22.2发展支出--293.9-293.9发展支出的货币换算-(2.1)2.1--2021年12月31日的余额16.5 16.5 150.7 1,900.8(4.9)2,063.1与我们的海外子公司换算成欧元有关的汇率差额在货币换算准备金中确认。被指定为外国业务净投资对冲的套期保值工具的损益计入货币换算准备金。套期保值准备金是指现金流套期保值的有效部分的套期保值损益。分配及厘定净收益股息可于任何已发行累积优先股首次支付(累积)股息后,从本公司股东大会通过的公司财务报表所显示的净收益或留存收益中支付。然而,在法定条文的规限下,管理委员会可酌情在股东大会通过任何财政年度的财务报表前,经监事会事先批准,就普通股派发一项或多项中期股息。经监事会批准,管理委员会可决定保留我们全部或部分净收入,除累计优先股的股息外,不得分配给股东。未保留的净收益可以根据股东决议分配给股东,前提是分配不会将股本减少到低于荷兰法律要求的准备金数额。根据荷兰法律可分配的现有储备可在下列情况下提供给大会分配


ASML 2021年年度报告221管理委员会的提案,但须事先获得监事会的批准。关于现金支付,如果在提供红利或分派之日的次日之后五年内没有人索要这种红利或分派,获得红利和分派的权利即告失效。ASML的目标是分配股息,这种股息将随着时间的推移而增长,每半年支付一次。管理委员会每年在得到监事会事先批准后,考虑到任何中期股息分配,向年度股东大会提交一份关于上一年度宣布的股息数额的建议。任何给定年度的股息建议将受到可分配利润、留存收益和现金的可用性的影响,并可能受到我们对未来潜在流动性需求的看法的影响,包括产能投资、营运资本需求、我们研发计划的资金以及可能不时出现的收购机会。ASML打算宣布2021年的总股息为每股普通股5.50欧元。认识到2021年11月支付的中期股息为每股普通股1.80欧元,这导致向股东大会提出每股普通股3.70欧元的末期股息建议。2021年的总股息比2020年每股普通股2.75欧元的总股息增加了100%。普通股的股息在任何已发行的累积优先股的净收益中首先支付(累积)股息后,从我们的年度股东大会采纳的财务报表中显示的净收益或留存收益中支付。未作为股息分配的净收入将拨入我们的留存收益。除支付股息外,我们打算根据实际及预期的流动资金需求水平及其他相关因素,透过股份回购或偿还资本定期向股东返还现金。2021年7月21日,我们宣布了一项新的股票回购计划,将于2023年12月31日之前执行。作为这一计划的一部分,ASML打算回购金额高达90亿欧元的股票,我们预计其中总计45万股将用于支付员工股票计划。ASML打算取消回购的剩余股份。新的计划取代了之前的60亿欧元的2020-2022年股票回购计划,根据新的股票回购计划,该计划尚未全部完成。在2021年,我们回购了14,358,838股(2020年:3,908,429股),总代价为85.603亿股(2020年:12.075亿股),其中6,601,699股是根据新计划以45.603亿欧元的代价购买的。2021年,我们注销了13,023,016股(2020年:6,162,395股),其中9,759,021股是根据2020-2022年计划回购的,3,263,995股是根据2021-2023计划回购的。股票回购计划可随时暂停、修改或终止。根据计划购入的股份总数(欧元)2021年1月21-31日495,533 455.68 495,533 4,566.7 2021年2月1日-28日1,360,410 474.24 1,855,943,921.6 2021,580,604 469.40 3,436,547 3,179.6 2021年4月1-30日1,128,123 537.04 4,564,670 2,573.8 2021年6月1-30日1,240,714 528.93 5,805,384 1,917.52021年1,204,128 570.95 7,009,512 1,230.0 2021年7月1-31日1,178,129 603.46 8,187,641 8,726.6 2021 1,274,521 674.28 9,462,162 7,867.2 2021年1,188,430 723.11 10,650,592 7,007.8 2021 1,237,721 658.97 11,888,313 6,192.2 2021年11月1-30日1,393,794 726.43 13,282,107 5,179.7 12月1,076,731 687.26 14,358,838,439.7总计14,358,838,596.17


ASML年度报告2021年222 23.每股普通股净收入每股普通股基本净收入的计算方法是净收入除以该期间已发行普通股的加权平均数。摊薄效应采用库存股方法计算,方法是用净收入除以该期间已发行普通股的加权平均数加上适用于期权和有条件股份(摊薄潜在普通股)的股份。在计算每股普通股的摊薄净收入时,不会假设行使期权是反摊薄的。由于累计优先股代表与普通股不同的股票类别,因此不包括在计算稀释加权平均已发行股数时可向优先股基金会发行的累积优先股。每股普通股的基本和稀释后净收益计算如下:截至12月31日的年度(欧元,百万欧元,净收益2,581.1 3,696.8 6,134.6加权平均流通股数420.8 418.3 409.8每股普通股基本净收益6.13 8.84 14.97加权平均流通股数420.8 418.3 409.8外加适用于期权和有条件股份的股份0.9 0.8 0.6稀释加权平均股数421.6 419.1 410.4稀释每股普通股净收益6.12 8.82 14.95我们依赖外部供应商提供我们系统中使用的零部件和部件,包括其设计其中每一个都是从单一供应商或有限数量的供应商那里获得的。我们对有限的供应商集团的依赖涉及几个风险,包括可能无法获得足够的所需组件供应,降低对定价的控制,以及这些组件和子组件不及时交付的风险。25.金融风险管理我们面临着一定的金融风险,如外币风险、利率风险、信用风险、流动性风险和资本风险。我们的整体风险管理计划侧重于金融市场的不可预测性,并寻求将对我们财务业绩的潜在不利影响降至最低。我们的风险管理计划适当地关注当前金融市场的不确定环境。我们风险管理计划中的一个关键要素是我们长期坚持的审慎融资政策,该政策基于三个基本要素:·流动性:保持财务稳定,目标是将我们的现金和现金等价物以及短期投资保持在2.0至25亿欧元的最低范围以上·资本结构:保持资本结构,目标是稳定的投资级信用评级·现金回报:提供可持续的每股股息,该股息将随着时间的推移而增长,每半年支付一次,同时通过股票回购或资本偿还将多余的现金返还给股东我们使用衍生金融工具来对冲某些风险敞口。所有这些交易都不是出于交易或投机的目的。我们使用市场信息来确定我们衍生金融工具的公允价值。外汇风险管理我们面临着货币风险。我们的财务报表是以欧元表示的。因此,我们的经营结果受到欧元与其他货币之间汇率波动的影响。货币汇率的变化可能会导致我们的财务报表出现亏损。我们特别容易受到美元与欧元汇率波动的影响,而日元、韩元、台币和人民币相对于欧元的汇率波动程度较小。我们的销售成本主要以欧元计价,有24欧元。由于某些浓度而导致的脆弱性


ASML年度报告2021年223部分也以美元和新台币计价。我们的一小部分经营业绩是由欧元、美元、日元、韩元、台币或人民币以外的货币变动推动的。一般而言,我们的客户以美元经营业务,因此美元兑欧元的疲软可能会影响我们的客户以报价购买我们产品的能力或意愿。外汇敏感度下表详细介绍了我们对外币兑欧元升值10.0%的敏感度。敏感性分析包括未偿还的外币计价货币项目,并在期末调整其换算,以使外币汇率上升10.0%。正数表示净收入或权益增加。截至2020年12月31日的年度(欧元,以百万计)2020 2021年对净收益的影响对股本的影响对净收益的影响美元(4.3)34.4(6.9)51.5日元(13.4)-(2.2)(32.9)新台币1.3-(3.7)-其他货币(3.9)-6.2-Total(20.3)34.4(6.6)18.6我们的政策是限制汇率波动对我们综合损益表的影响。对净收入的影响反映了我们在2021年底对欧元以外货币的净敞口。上表所列2021年对净收入的负面影响主要归因于风险敞口的产生和对冲之间的时间差异。为进行美元交易而进行的现金流量对冲的公允价值变动的影响在权益中确认。与2020年相比,2021年美元对股权的影响是未偿还购买对冲增加的结果。与2020年相比,2021年日元对股权的影响是由于芯片需求强劲增长导致未偿还销售对冲增加的结果。如果外币兑欧元贬值10.0%,对净收益和股本的影响大致相同,但却相反。外汇风险政策我们的政策是对冲重大交易风险,如预测的销售和购买交易。我们通过使用远期外汇合约来对冲这些风险敞口。截至2021年12月31日,未到期远期外汇合约的名义本金主要以美元、日元、台币、韩元和人民币计价,分别为6亿美元、445亿日元、25亿台币、119亿韩元和6亿元人民币(2020年:4亿美元、155亿日元、5亿台币、00亿韩元和4亿元人民币)。以外币计价的对冲高可能性预测交易预计将在未来12个月内的不同日期发生。在保监处就包括在对冲关系中的远期外汇合约确认的损益,将在被对冲的预测交易影响综合损益表的期间在综合损益表中确认。2021年,我们在综合损益表中确认了2220万欧元的净亏损(2020年:230万欧元的收益;2019年:1070万欧元的收益),这是由于该年度发生的预测销售和购买交易的有效现金流对冲造成的。此外,我们在综合损益表中确认由按公允价值通过损益计量的衍生金融工具产生的净亏损790万欧元(2020年:收益2820万欧元;2019年:亏损1200万欧元),主要由对冲货币项目的重估所抵消。


ASML年度报告2021年224 OCI余额外汇合同金融工具的未实现损益未实现的累计OCI余额金融工具的未实现损益包括:·以外币计价的预期购买交易的未实现损益。截至2021年12月31日,OCI的未偿还累计收益包括2080万欧元,代表将公布的销售成本的预期收益总额(2020年:亏损2610万欧元,2019年:收益210万欧元),(税后净额:2021年:收益1770万欧元;2020年:亏损2270万欧元;2019年:收益180万欧元),这将抵消以外币计价的预测购买交易的欧元等值。所有金额预计将在未来12个月内公布。·销售应实现的重大预期损失。截至2021年12月31日,未偿还累计OCI包括120万欧元的亏损(2020年:收益40万欧元;2019年:亏损120万欧元),这是将公布给销售的总预期亏损。我们应用套期保值会计的所有合同的有效性在整个套期保值的整个生命周期内都受到季度监测。在2021年、2020年和2019年期间,没有发现无效的对冲关系。利率风险管理我们有计息资产和负债,使我们受到市场利率波动的影响,通过利率掉期进行管理。利率敏感度以下敏感度分析乃根据衍生金融工具及非衍生金融工具于综合财务状况表日的利率风险而厘定,规定的变动于财政年度开始时发生,并于整个报告期内保持不变。下表显示了利率每增加1%对我们的净收入和权益的影响。正数表示净收入和股本增加。截至12月31日的年度(欧元,百万欧元)2020年对净收益的影响对股本的影响对净收益的影响加息1%对股本的影响43.5-45.9-对净收益的积极影响主要涉及我们的现金和现金等价物以及短期投资的总额高于我们的总浮动债务头寸,这不包括2020年发行的欧洲债券。利率每下降1%,对净收入和股本的影响大致相同,但却相反。对冲政策利率我们使用利率互换,通过调整可用现金和计息债务的利息条款,将集团的净利息敞口降至最低。如果资产和负债头寸不能完全抵消,则可能存在剩余的利率风险。利率互换截至2021年12月31日,未偿还利率互换合约的名义本金金额为30亿欧元(2020年:30亿欧元)。于2021年期间,这些未平仓对冲在对冲利率变动带来的公允价值风险方面非常有效。欧元债券的公允价值变动与利率互换的公允价值变动计入同期的综合损益表。我们没有就2020年发行的欧洲债券进行利率互换。信用风险管理可能使我们面临严重集中信用风险的金融工具主要包括现金和现金等价物、短期投资、用于对冲活动的衍生金融工具、应收账款和财务应收账款以及对供应商的预付款。现金和现金等价物、短期投资和衍生金融工具包含交易对手无法履行其义务的风险因素。我们的风险管理计划适当地关注当前金融市场的不确定环境。我们将现金及现金等价物和短期投资投资于拥有投资级信用评级的金融机构的短期存款、拥有投资级信用评级的政府和/或政府相关机构,以及投资于高评级债务证券的货币市场和其他投资基金。为了降低我们在对冲交易中的交易对手的风险


ASML年报2021年225由于无法履行义务,我们与少数拥有投资级信用评级并密切监控其信誉的主要金融机构达成交易。所有信用评级都由S、穆迪或惠誉等信用评级机构进行评级。通过限制对每个单独交易对手的风险敞口,降低了集中风险。我们的客户包括遍布世界各地的集成电路制造商。我们对客户的财务状况进行持续的信用评估。我们通过其他措施降低信用风险,包括使用首付、信用证和合同所有权保留条款。保留所有权使我们能够在客户拖欠付款的情况下恢复系统。流动性风险管理我们的主要流动性来源包括现金和现金等价物、短期投资和可用的信贷安排,目标是使我们的现金和现金等价物以及短期投资保持在20亿欧元至25亿欧元的最低范围以上。此外,我们可能会不时在债务和股票市场筹集额外资金。我们力求确保我们的主要流动资金来源在任何时候都足以满足我们的流动资金需求。我们的流动资金需求受到许多因素的影响,其中一些因素是基于业务的正常持续运营,另一些因素与全球经济和半导体行业的不确定性有关。虽然我们的现金需求根据这些因素的时间和程度而波动,但我们相信,运营产生的现金,加上我们的其他流动性来源,足以满足我们目前的需求,包括我们预期的资本支出和偿债。我们打算定期以支付股息的形式向股东返还现金,并根据我们实际和预期的流动资金需求以及其他相关因素,回购股票或偿还资本。我们对衍生金融工具的流动性分析如下:总计


ASML年度报告2021年226会计政策-衍生金融工具和套期保值活动金融资产有三个主要分类类别:按摊销成本计量、FVOCI和FVTPL。金融资产的分类一般基于管理金融资产的商业模式及其合同现金流特征。混合金融工具(以金融资产为主体的衍生品嵌入合同)作为一个整体进行评估以进行分类。按摊销成本计算的金融资产按摊销成本计算的金融资产是指在活跃的市场中未报价的具有固定或可确定付款的非衍生金融资产。它们计入流动资产,但在综合财务状况表日期后12个月以上的到期日除外。这些资产被归类为非流动资产。我们于摊销时的金融资产包括应收账款、应收金融账款、其他资产、现金及现金等价物(不包括货币市场基金的投资,在损益中按公允价值分类为金融资产),以及综合财务状况表内的其他非流动及流动资产。按摊销成本计算的金融资产最初按公允价值计量,其后按实际利率法按摊销成本计量。我们于每个综合财务状况报表日期评估是否有客观证据显示一项或一组金融资产已减值。金融资产减值除按公允价值计提损益的金融资产外,每个综合财务状况报表日期均采用“预期信贷损失”(ECL)模型进行评估。根据该模型,我们根据被确定为可预测损失风险的数据,并应用经验丰富的信用判断,为每项金融资产分配损失概率。这些违约概率是使用指示违约风险的量化因素定义的,并与彭博资讯的信息一致。现金和现金等价物、短期投资和财务应收账款的减值是在12个月预期亏损的基础上衡量的,反映了风险敞口的短期到期日。根据对手方的外部信用评级,我们认为我们的现金和现金等价物、短期投资和融资应收账款具有较低的信用风险。应收贸易账款的减值已按终身预期损失计量。ASML发行的金融负债和权益工具ASML发行的金融负债和权益工具根据订立的合同安排的实质内容以及金融负债和权益工具的定义进行分类。金融负债分为按公允价值计提损益的金融负债或其他金融负债。权益工具是任何证明ASML资产在扣除其所有负债后仍有剩余权益的合同。ASML发行的权益工具按扣除直接发行成本后的公允价值入账。按公允价值计入损益的金融负债按公允价值列报,任何由此产生的损益均在综合损益表中确认。其他金融负债(包括贷款、借款、贸易及其他应付款项)随后按实际利率法按摊销成本计量。金融工具


ASML 2021年年报227这些金融工具的公允价值为:截至2021年12月31日的年度(欧元,按公允价值通过损益计算的金融资产按摊销成本计算的金融资产99.5-99.5合同资产-164.6 164.6应收账款-3,028.0 3,028.0应收账款-1,568.6 1,568.6其他非流动和流动资产-1,651.3 1,651.3短期投资638.5-638.5现金及现金等价物2,928.3 4,023.5 6,951.8截至2021年12月31日的年度合计3,666.3 10,436.0 14,102.3(欧元,按公允价值通过损益计算的财务负债其他财务负债财务状况表中的总负债1-4,584.1 4,584.1衍生金融工具2.8-2.8合同负债--应计及其他负债-1,683.8 1,683.8应付帐款-2,115.5 2,115.5合计2.8 8,383.4 8,386.2 1.长期债务包括我们的欧元债券。由于欧元债券在公允价值对冲关系中作为对冲项目,因此账面金额会因市场利率的变化而进行公允价值调整。见附注16长期债务、利息和其他成本。截至2020年12月31日的年度(欧元,百万欧元)按公允价值计损益的金融资产按摊销成本计的金融资产按财务状况表计算的总资产日期衍生金融工具162.8-162.8合同资产-119.2 119.2应收账款-1,310.3 1,310.3应收账款-2,111.0 2,111.0其他非流动和流动资产-1,427.6 1,427.6短期投资1,302.2-1,302.2现金及现金等价物3,841.9 2,207.5 6,049.4截至2020年12月31日的年度合计5,306.9 7,175.6 12,482.5(欧元,按公允价值透过损益计算的财务负债其他财务负债财务状况表内的总负债1-4,678.2 4,678.2衍生金融工具20.0-20.0应计及其他负债-1,383.5 1,383.5应付账款-1,378.3 1,378.3合计20.0 7,440.0 7,460.0 1.长期债务包括我们的欧洲债券。由于欧元债券在公允价值对冲关系中作为对冲项目,因此账面金额会因市场利率的变化而进行公允价值调整。见附注16长期债务、利息和其他成本。应收账款、金融应收账款和其他资产的账面价值接近其公允价值。上面反映的金额代表我们对金融资产的信用风险的最大敞口。


ASML年报2021年228我们基于源自第2级投入标准的公允价值来衡量所有衍生金融工具。我们采用套期保值会计,对在抵销已识别的对冲风险方面非常有效的套期保值,并考虑到所需的有效性标准。衍生工具最初于衍生工具合约订立当日按公允价值确认,并于其后重新计量。确认由此产生的收益或损失的方法取决于衍生品是否被指定为套期保值工具,如果是,则取决于被套期保值项目的性质。我们将衍生品指定为下列之一:·与可归因于特定风险的已确认资产或负债的公允价值变化有关的风险敞口的对冲(公允价值对冲)。·对可归因于某一特定风险(现金流对冲)的已确认资产或负债的现金流或预测交易的可变性的风险敞口进行对冲。·与外国业务的净投资有关的外币风险对冲(净投资对冲)。我们在交易开始时评估套期保值工具和对冲项目之间的关系,以及我们进行各种对冲交易的风险管理目标和策略。我们亦会在对冲初期及持续进行评估,以评估在对冲交易中使用的衍生工具在抵销对冲项目的公允价值或现金流变动方面是否非常有效。衍生金融工具产生的现金流量按套期保值项目的性质在合并现金流量表中分类。公允价值对冲被指定并符合公允价值对冲资格的衍生金融工具的公允价值变动,连同可归因于对冲风险的对冲资产或负债的损益,记录在综合损益表中。我们将外币套期保值工具指定为以非功能性货币对公认资产或负债的公允价值进行对冲。当我们撤销套期保值关系,套期保值工具到期或被出售,终止或行使,或不再有资格进行套期保值会计时,套期保值会计就停止了。套期风险对套期项目账面金额的调整自该日起计入综合损益表。用于对冲应付固定贷款息票公允价值的利率掉期被指定为公允价值对冲。公允价值变动旨在抵销相应入账的相关固定贷款息票的公允价值变动。与利率掉期对冲应付固定贷款息票的无效部分相关的损益在综合损益表中确认为财务成本或财务收入。现金流对冲当衍生工具被指定为现金流对冲工具时,衍生工具的公允价值变动的有效部分在保监处确认,并累积在对冲准备金中。于保监处确认的衍生工具公允价值变动的有效部分限于对冲项目自对冲开始以来按现值厘定的累计公允价值变动。衍生工具公允价值变动中的任何无效部分将立即在损益中确认。当套期预测交易随后导致确认非金融项目(如存货)时,在确认非金融项目时,套期保值准备金的累计金额和对冲准备金的成本直接计入非金融项目的初始成本。对于所有其他套期保值预测交易,套期保值准备金的累计金额和套期保值准备金的成本重新分类为被套期保值的预期未来现金流量影响损益的同期或多个期间的损益。衍生工具的公允价值下表汇总了我们的衍生金融工具的名义金额和估计公允价值:截至2012年12月31日的年度(欧元,以百万为单位)2021年公允价值名义金额公允价值远期外汇合约3,000.0 160.4 3,000.0 83.9


ASML年度报告2021年229下表按类别汇总了我们的衍生金融工具:截至12月31日的年度(欧元,2020年2021年资产负债负债利率互换-公允价值套期保值160.4-83.9-远期外汇合约-现金流对冲0.9 15.1 15.0 2.2远期外汇合约-无对冲会计1.5 4.9 0.6 0.6总计162.8 20.0 99.5 2.8减去非流动部分:利率互换-公允价值对冲123.8-47.3-总非流动部分123.8-47.3-总流动部分39.0 20。052.22.8在财务状况表日后剩余期限为12个月或以下的对冲衍生金融工具的公允价值部分被归类为流动资产或负债。当套期保值衍生工具的公允价值部分在财务状况表日后的期限超过12个月时,该衍生工具被归类为非流动资产或负债。公允价值计量公允价值是指在计量日在市场参与者之间的有序交易中出售一项资产或支付转移一项负债而收到的价格。公允价值计量层次将用于计量公允价值的估值技术的投入优先排序如下:·第1级:根据实体有能力进入的活跃市场上相同资产或负债的报价等投入进行估值。·第2级:根据第1级投入以外的投入进行估值,如类似资产或负债的报价、不活跃市场的报价或其他可观察到的投入,或基本上整个资产或负债的可观测数据所证实的其他投入。·第三级:根据很少或没有市场活动支持的投入进行估值,这些投入对资产或负债的公允价值具有重大意义。公允价值层次结构对相同资产或负债的活跃市场报价(未调整)给予最高优先权(第1级),对不可观察到的投入给予最低优先权(第3级)。金融工具的公允价值分类基于公允价值计量层次结构中任何重要投入的最低水平。金融资产和金融负债按公允价值按经常性基础计量货币市场基金的投资(包括在我们的现金和现金等价物中)采用公允价值计量,这些公允价值计量均基于相同资产或负债的报价。我们的短期投资包括向持有投资超过3个月且在收购之日不超过一年的实体存入具有投资级信用评级的金融机构的原始到期日存款。存款的公允价值乃参考类似资产活跃市场的报价或贴现现金流分析而厘定。我们执行衍生品合约的主要市场是具有高度价格透明度的场外交易环境中的机构市场。市场参与者通常是大型商业银行。我们衍生品合约的估值输入是基于公开数据来源的报价和报价区间;它们不涉及管理层的判断。用于确定远期外汇合同公允价值(用于对冲目的)的估值技术与净现值技术相近,净现值技术是银行在考虑当前利率和当前汇率后,在报告日期终止远期外汇合同将收到或支付的估计金额。用于确定利率掉期(用于对冲目的)的公允价值的估值技术是净现值技术,即考虑到当前利率,银行将在报告日期收到或支付终止掉期协议的估计金额。


ASML年报2021年230我们的四只未偿还欧元债券,本金总额为30亿欧元,作为公允价值对冲关系中的对冲项目,我们通过利率互换来对冲由于市场利率变化而导致的欧元债券公允价值变化的可变性。我们在2020年发行的债券不适用对冲。利率互换的公允价值变动于综合财务状况表衍生金融工具项下记录,而欧元债券的账面金额仅就该等公允价值变动的有效部分作出调整。有关我们的欧元债券的实际账面总额和公允价值,请参阅附注16长期债务、利息和其他成本。下表列出了按公允价值经常性计量的金融资产和金融负债:截至2021年12月31日的年度(欧元,1级2级3按公允价值计量的总资产衍生金融工具1-99.5-99.5货币市场基金2,928.3--2,928.3短期投资3-638.5-638.5合计2,928.3-3,666.3负债按公允价值计量的衍生金融工具1-2.8-2.8公允价值披露的资产和负债截至2020年12月31日的长期债务4,673.9--4,673.9(欧元,按公允价值计量的总资产1-162.8--162.8货币市场基金3,841.9--3,841.9短期投资3-1,302.2-1,302.2合计3,841.9 1,465.0-5,306.9按公允价值计量的衍生金融工具负债1-20.0-20.0公允价值披露的资产和负债长期债务4,798.8--4,798.8 1.衍生金融工具包括远期外汇合约和利率互换。2.货币市场基金是我们现金和现金等价物的一部分。3.短期投资包括对持有投资的实体的原始到期日超过三个月、但在收购之日不超过一年的存款。这些存款按接近其公允价值的摊余成本进行估值。它们的公允价值是参考类似资产活跃市场的报价或贴现现金流分析来确定的。4.长期债务主要与欧洲债券有关。在截至2021年12月31日至2020年12月31日的年度内,水平之间没有转移。未按公允价值计量的金融资产和金融负债由于这些工具的短期性质,现金和现金等价物、应付账款以及其他流动金融资产和负债的账面价值接近其公允价值。提供给Carl Zeiss SMT GmbH的贷款账面价值接近于考虑到当前利息和投资级信用评级的公允价值。衡量货币市场和投资基金的货币市场和投资基金有资格出售有价证券。由于短期性质,公允价值接近账面价值,且与具有投资级信用评级的投资有关。信贷损失拨备和未实现损益总额接近于零。这些货币市场基金可以每日赎回。货币市场基金的投资和赎回是根据实际现金余额按日进行管理的。考虑到低利率和高信用评级,这些货币市场基金的已实现损益并不显著。证券的成本几乎为零。截至2021年12月31日,ASML没有交易证券。将存款作为现金和现金等价物和短期投资的一部分衡量的存款符合持有至到期的证券的资格。由于短期性质以及与具有投资级信用评级的投资有关,摊余成本价值接近公允价值和账面价值。信贷损失拨备和未实现损益总额接近于零。期限为1年或更短。在到期日之前,没有出售持有至到期的证券。


ASML年度报告2021年231 26.关联方卡尔·蔡司SMT有限公司是我们光刻系统用光柱的唯一供应商,我们也是他们的唯一客户。卡尔·蔡司SMT有限公司只能通过使用位于德国奥伯科兴和韦茨拉尔的制造和测试设施,有限数量地开发和生产这些产品。我们与卡尔·蔡司SMT有限公司的关系是一种战略联盟,在“两家公司,一家企业”的原则下运行,专注于持续创新和提高光刻业务的运营水平。我们拥有卡尔·蔡司SMT控股有限公司24.9%的股份,卡尔·蔡司SMT控股有限公司拥有卡尔·蔡司SMT有限公司100%的股份。基于24.9%的投资,卡尔·蔡司SMT Holding GmbH&Co.KG及其子公司被视为关联方。自1997年以来,我们与Carl Zeiss SMT GmbH签订了多项框架协议。我们于2021年9月与Carl Zeiss SMT GmbH签订了一项新的框架协议,自2021年初起生效。这项协议取代了我们现有的主要框架协议,并使我们的业务利益保持一致,以便专注于支持我们的最终客户。新框架协议的关键组成部分是:·促进相互尊重和理解的行为和互动模式·通过相互批准(I)影响光刻业务的某些投资决定,使两家公司在决策和业务战略执行方面变得更加有效和一致的治理模式,和(Ii)Carl Zeiss SMT GmbH提供的所有产品的要求·购买产品和服务的新可变定价模型,由ASML和Carl Zeiss SMT GmbH在光刻业务中的相关年度财务业绩确定·通过产品交付的额外预付款提供现金支持,以确保Carl Zeiss SMT GmbH在年度期间有最低调整后的自由现金流下限,如果满足某些标准·ASML承诺为Carl Zeiss SMT GmbH的资本支出提供高达10亿欧元的资金,如果他们在光刻业务路线图上执行的投资超过某些门槛,则每年通过贷款协议进行衡量,关键条款是:·10年定期贷款,三年宽限期后每年线性偿还·利率下限为0.01%,上限为1%·自愿提前还款选项,不受惩罚两家公司已同意永久继续其战略联盟,以满足最终客户的需求,即使新框架协议终止。从2016年之前的协议过渡到EUV 0.55 NA(High-NA),我们与Carl Zeiss SMT GmbH达成协议,支持他们的研发成本、资本支出和供应链投资。根据我们的新框架协议,从2021年开始将不再支付这些款项。我们在新框架协议生效修改日期之前支付了9.691亿欧元,其中3.055亿欧元与研发成本有关,不偿还,6.636亿欧元与资本支出和供应链投资有关。资本支出和供应链投资支助的偿还方式已转换为在2021年至2032年期间每年偿还ASML。这笔金额在其他资产中作为向Carl Zeiss SMT GmbH的预付款列报。新的框架协议没有改变与这些资产相关的风险。在新的购买产品和服务的可变定价模型中,反洗钱的现金流出被确定为目前有两个要素。首先是购买产品和服务的现金流出,反映在我们的库存估值和销售成本中。第二项是为卡尔·蔡司SMT有限公司的High-NA提供的研发资金,这些成本在研发成本中列报。2021年,这一数额被确定为6120万欧元。根据之前的High-NA协议,我们在2020年和2019年分别产生了9610万欧元和9420万欧元的研发成本。于2020及2021年按非经常性基础按公允价值计量的资产及负债,我们并无按正常业务活动按非经常性基础计量的重大公允价值计量。在2020至2021年间,我们没有确认任何商誉和其他无形资产的减值费用。有关2020年收购柏林Glas及其后于2021年剥离非半导体业务的公允价值计量,我们参考附注10业务合并及剥离。


ASML年度报告2021年232已于2021年9月29日提供了1.244亿欧元的初始贷款,按摊销成本估值,并在其他资产中列报。根据之前的High-NA协议,我们为2020年的资本支出和供应链投资提供了2.214亿欧元的支持,在2019年提供了1.886亿欧元的支持。除了High-NA支持外,我们还提供无息预付款,以支持Carl Zeiss SMT GmbH的在制品。这些付款是为了确保光学柱交付,这些预付款通过未来的透镜或光学柱交付结算,也在其他资产中列报。新的框架不会改变我们结算以前支付的金额的权利,也不会改变与这些资产相关的风险。我们将继续支持卡尔·蔡司SMT有限公司在新框架协议下的工作,通过产品交付的预付款。卡尔·蔡司SMT Holding GmbH&Co.KG及其子公司截至2021年12月31日的综合财务状况表中的未偿还余额:截至2021年12月31日的年度(欧元,以百万为单位)2021年预付款包括在其他资产中933.8 982.8预付款包括在财产中,厂房与设备52.8 82.1应收贷款-124.4使用权资产149.9-投资协议24.9%股权820.7 892.5应付账款110.9 482.7应计及其他负债--2020年的使用权资产主要包括与我们与卡尔·蔡司SMT签订的High-NA协议相关的设施和工具,资金由ASML预付。这项协议被一项新的框架协议取代。一旦签订新协议,这些资产就不再符合租赁的定义。它们在2021年被归类为其他资产的一部分。卡尔·蔡司SMT Holding GmbH&Co.KG及其子公司的总购买量如下:截至12月31日的年度(欧元,百万欧元)2019 2020 2021年总购买量1,502.3 1,623.9 2,070.3其他关联方考虑除正常过程(补偿)安排外,ASML与其任何子公司、任何其他大股东、任何董事或高管、或其任何亲属或配偶之间没有任何交易。在我们最近的财政年度内,没有,目前也没有董事、阿斯玛官员或其任何联系人所欠或欠阿斯麦的未偿债务。此外,ASML没有向管理委员会或监事会成员提供任何个人贷款、担保或类似的东西。有关由我们的管理委员会成员组成的关键管理人员的更多信息,请参见附注28管理委员会和监事会薪酬。


ASML年度报告2021年233 27.子公司和联营公司截至2021年12月31日,我们的子公司和联营公司的详细信息如下:ASML Holding N.V.注册的子公司法人国家:ASML比利时BV比利时(埃森)爱马仕微视有限公司(北京)中国(北京)阿斯麦(上海)电气设备有限公司中国(上海)阿斯麦(上海)光刻设备科技有限公司中国(上海)共聚半导体设备(上海)有限公司中国(上海)爱马仕微视(上海)有限公司中国(上海)布里昂科技(深圳)有限公司中国(深圳)ASML法国S.a.r.L.法国(伯宁)ASML Verwaltungs GmbH德国(柏林)ASML柏林有限公司(前柏林Glas GmbH)德国(柏林)ASML德国有限公司德国(德累斯顿)ASML Participations德国GmbH德国(德累斯顿)ASML香港物流服务有限公司香港特别行政区ASML爱尔兰有限公司爱尔兰(都柏林)ASML以色列(2001)有限公司以色列(Kiryat Gat)ASML意大利S.r.l.意大利(Avezzano)ASML日本有限公司日本(东京)Cmer日本公司日本(东京)ASML设备马来西亚有限公司巴赫德。马来西亚(乔治敦,Pulau Pinang)Cmer B.V.荷兰(Veldhoven)ASML荷兰(Veldhoven)ASML Systems B.V.荷兰(Veldhoven)ASML Trading B.V.荷兰(Veldhoven)Hermes Microvision Inc.荷兰(Veldhoven)ASML新加坡私人有限公司新加坡Cmer新加坡私人有限公司新加坡ASML韩国有限公司韩国京旗岛ASML修复中心韩国有限公司韩国京基(京基)Cmer韩国有限公司台湾(新竹市)ASML技术台湾有限公司台湾(新竹市)Cmer东南亚有限公司台湾(新竹市)ASML(英国)有限公司英国(爱丁堡(苏格兰))Cmer,LLC美国(内华达州卡森市)Hermes Microvision,Inc.US(加利福尼亚州洛杉矶)ASML Global,Inc.US(特拉华州威尔明顿)ASML US,LLC US(特拉华州威尔明顿)ASML US,LP US(威尔明顿,特拉华州)特拉华州)联营公司和其他实体,ASML Holding N.V.拥有权益:Carl Zeiss SMT Holding GmbH&Co.Kg(24.9%直接权益)德国(Oberkochen)Carl Zeiss SMT GmbH(24.9%间接权益)德国(Oberkochen)HighTechXL Holding B.V.(17.65%)荷兰HighTech XL Group B.V.(17.39%)荷兰Secop B.V.(0.58%)荷兰1.我们的所有子公司都是(直接或间接)全资拥有的。


ASML年度报告2021年234 28.管理委员会和监事会以2021年发生的会计费用为基础的管理委员会成员的薪酬,2020年和2019年:管理委员会财务年度基本工资养老金其他福利总固定百分比固定STI LTI总可变百分比可变总薪酬相对比例固定与可变P.T.F.M.2021 1,020 206 57 1,283 26.6%1,098 2,439 3,537 73.4%4,820 0.36 2020 1,020 216 57 1,293 28.3%1,135 2,136 3,271 71.7%4,564 0.40 2019 1,000 207 53 1,260 28.9%1,070 2,031 3,101 71.1%4,361 0.41 M.A.范·登布林克2021 1,020 206 56 1,282 26.6%1,098 2,439 3,537 73.4%4,819 0.36 2020 1,135 2,136 3,271 71.7%4,564 0.40 2019 1,207 52 1,259 28.9%1,070 2,031 3,101 71.1%4,360 0.41 F.J.M.施耐德-莫努里2021 694 115 36 845 26.8%747 1,566 2,313 73.2%3,158 0.37 2020 694 122 36 852 29.1%773 1,302,075 70.9%2,927 0.41 2019 680 114 824 30.3%728 1,172 1,900 69.7%2,724 0.43 R.J.M.达森2021 694 115 51 860 22.6%747 2,193 2,940 77.4%3,800 0.29 2020 694 100 51 845 22.2%773 2,186 2,959 77.8%3,804 0.29 2019 680 93 47 820 27.7%728 1,408 2,136 72.3%2,956 0.38 C.D.傅奎2021 678 52 824 26.3%747 1,566 2,313 73.7%3,137 0.36 2020 694 83 51 828.8%773 1,374 2,72.2%2,975 0.39 2019年680 74 47 801 36.4%728 674 1,402 63.6%2,203 0.57董事会总数2021 4,122 720 252 5,094 25.8%4,437 10,203 14,640 74.2%19,734 0.35 2020 4,122 737 252 5,111 27.1%4,589 9,134 13,723 72.9%18,834 0.37 2019 4,040 695 229 4,964 29.9%4,324 7,316 11,640 70.1%16,604 0.43薪酬乃根据欧盟国际财务报告准则(股份奖励)呈报。股份奖励的成本在三年归属期间内按预期授予非市场基础要素的奖励数量计入综合经营报表。在头两年,我们采用可实现的最大股票奖励数量,并在奖励的最后业绩年度,将非市场业绩条件的估计更新为预期授予的最佳估计金额。基于可实现股份奖励数目的最佳估计的金额与基于归属的实际股份奖励数量的金额之间的任何差额,在股份奖励归属的财政年度的综合经营报表中计入。以市场为基础的要素按目标核算。范豪特自2021年从公司退休以来,不再是管理委员会的成员。前管理委员会财政年度基本薪金退休金其他福利固定百分比固定薪酬总变动百分比变动薪酬相对比例1 2021 231 47 16 294 11.4%243 2,036 2,279 88.6%2,573 0.13 2020 694 122 47 863 29.4%773 1,302 2,075 70.6%2,938 0.42 2019 680 44 838 30.6%728 1,172 1,900 69.4%2,738 0.44 1.范胡特2021年薪酬总额不包括估计金额8欧元。8百万欧元,用于支付本公司根据荷兰工资税法第32bb条应向荷兰税务机关缴纳的解雇福利税款。Van Hout先生的2021年STI是根据2021年提供的服务天数按比例计算的。Van Hout先生将继续享有根据LTI计划于2018年、2019年及2020年授予的业绩股份,该等股份将根据授出函件所述的相关业绩标准授予。2021-2023年LTI计划的赠款根据2021年提供的服务天数按比例分配。正在运行的LTI计划的所有LTI费用在2021年入账,因为在2021年服务期结束后不提供任何服务。披露的薪酬总额不包括估计金额880万欧元,以计入本公司根据荷兰工资税法第32bb条应向荷兰税务机关缴纳的解雇福利税款。2021年财政年度,范·胡特先生的薪酬支出总额为1140万欧元,其中包括这项税收。


ASML年度报告2021年235基于股份支付基于业绩的基于股份的薪酬管理委员会现任成员披露于下表。基于市场的要素非基于市场的要素管理委员会授予日期状态授予日按目标公允价值计算的股份数量授予日按目标公允价值计算的股份总数授予日的目标股份总数最大股份数(200%)归属日期的股份数归属年度的股票年终价格锁定终止日期P.T.F.M.Wennink 1/22/21条件编号1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 n/a 1/1/26 1/24 n/a 1/1/26 1/24条件编号1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 n/a n/a 1/1/25 7/19/19无条件编号2,217 245.4 5,173 194.4 7,390 14,780 1/1/22 13,326 706.7 1/24 1/19/18无条件编号1,958 215.1 4,570 162.8 6,528 13,056 1/19/21 9,566 439.9 1/19/23 1/20/17无条件编号3,037 145.4 7,085 110.5 10,122 20,243 1/1/20 16,733 263.7 1/122 M.A.范登布林克1/22/21条件编号1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 n/a 1/1/26 1/24 n/20条件编号1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 n/a n/a 1/1/25 7/19/19无条件编号2,217 245.4 5 173 194.4 7,390 14,780 1/1/22 13,326 706.7 1/1/24 1/19/18无条件编号1,958 215.1 4,570 1628 6,528 13,056 1/19/21 9,566 439.9 1/19/23 1/20/17无条件编号3,037 145.4 7,085 110.5 10,122 20,243 1/1/20 16,733 263.7 1/1/22 F.J.M.Schneider-Maunoury 1/22/21条件编号717 635.6 1,670 454.9 2,387 4,774 1/1/24 n/a n/a 1/1/26 1/24/20条件编号858 286.9 2,001 263.7 2,859 5,718 1/1/23 n/a无条件编号1,371 245.4 3,198 194.4 4,569 9,137 1/1/22 8,239 706.7 1/1/24 1/19/18无条件编号1,125 215.1 2,626 162.8 3,751 7,502 1/19/21 5,496 439.9 1/19/23 1/20/17无条件编号1,745 145.4 4,070 110.5 5,815 11,629 1/1/20 9,613 263.7 1/22 R.J.M.达森1/22 635.6 1,670 454.92,387 4,774 1/1/24 n/a n/a 1/1/26 1/24/20条件编号858 286.9 2,001 263.7 2,859 5,718 1/1/23 n/a n/a 1/1/25 3,198 194.4 4,569 9,137 1/22 8,239 706.7 1/1/24 1/25/19无条件编号3,000 169.0 7,000 148.3 10,000 1/22 18,032 706.7 1/24 7/20/18无条件编号657 274.6 1,531 185.0 2,188 4,376 1/19/21 3,207 439.9 1/19/23 C.D.福凯1/22/21条件编号717 635.6 1,670 454.9 2,387 4,774 1/1/24 n/a 1/1/26 1/24 n/a n/a 1/1/1/23 n/a n/a 1/1/25 7/19/19无条件编号1,371 245.4 3 198 194.4 4 569 9,137 1/1/22 8,239 7067 1/1/24 7/20/18无条件否844 274.6 1,969 185.0 2,813 5,626 1/19/21 4,122 439.9 1/19/23管理委员会前成员以业绩为基础的薪酬披露于下表。以市场为基础的要素非以市场为基础的要素前管理委员会授予日期状态授予日完全控制按目标公允价值计算的股份数量授予日按目标公允价值计算的股份总数最大股份(200%)归属日期股份数归属年度的股票年终价格锁定终止日期F.J.van Hout 1/22/21条件编号239 635.6 557 454.9 796 1,592 1/1/24 n/a n/a 1/1/26 1/24/20条件编号858286.9 2,001 263.7 2,859 5,718 1/1/23 n/a n/a 1/1/25 7/19/19无条件编号1,371 245.4 3,198 194.4 4,569 9,137 1/1/22 8,239 706.7 1/1/24 1/19/18无条件编号1,125 215.1 2,626 162.8 3,751 7,501 1/19/21 5,496 439.9 1/19/23 1/20/17无条件编号1,745 145.4 4,070 110.5 5,815 11,629 1/20 9,613 263.7 1/1/22否向管理委员会或监事会成员发放(个人)贷款,没有向管理委员会和监事会的任何成员提供担保或类似的担保。2021年没有向管理委员会和监事会成员发放遣散费,也没有追回浮动薪酬。


ASML年度报告2021年236监事会2021年薪酬监事会成员薪酬概览根据过去五年发生的会计费用(金额以千欧元为单位):29。毕马威在截至2021年12月31日和2020年12月31日的年度内一直担任我们的独立审计师。下表列出了毕马威及其成员事务所和附属公司2021年和2020年提供的专业审计服务和其他服务的总费用:截至2020年12月31日的年度(欧元,毕马威会计师事务所总审计费用2,246 1,090 3,337 2,449 1,047 3,496审计相关费用88-88 90-90税费-所有其他费用37-37 27-27主要会计师费用2,371 1,090 3,461 2,566 1,047 3,613我们的独立注册会计师事务所是毕马威会计师事务所(毕马威),荷兰Amstelveen,审计师事务所ID:1012。审计费用涉及本年报所载财务报表的审计、某些季度程序、与发售备忘录相关的服务(仅限于2020年)以及我们对子公司的法定和监管备案文件。这些费用与各自财务报表的审计有关,无论这项工作是否在财政年度内进行。其他与审计有关的费用与非财务信息的保证服务有关。其他(非审计)服务涉及已达成目标的某些议定程序,以便薪酬委员会评估薪酬政策的遵守情况,以及就美国预付定价协议的程序达成一致。1.津贴包括固定费用津贴和洲际会议津贴。在过去五年中,没有向现任和前任监事会成员发放浮动工资。根据《2021年监事会薪酬政策》规定的薪酬原则,监事会的薪酬与ASML的业绩没有直接联系。2021年、2020年和2019年授予前监事成员的薪酬概览(金额以千欧元为单位):会费2021年委员会费用2021年津贴20211比例固定薪酬2021年总薪酬2021年薪酬总额2019年D.A.GRose 26 10-100:0 36 117 133 C.M.S.史密斯23 8-100:0 31 95 91 W.H.齐巴特-30 101总计49 18-67 242 325 1.津贴包括固定费用津贴和洲际会议津贴。会费2021年委员会费用2021年津贴20211固定比例2021年总薪酬2021年总薪酬2019年总薪酬2019总薪酬2018年总薪酬2017年G.J.Kleisterlee 125 51 2 100:0 178 157 154 135 A.P.ARIS 87 39 1 100:0 127 95 98 80 B.M.CONIX 50 12 1 100:0 63-D.M.Durcan 74 27 11 100:0 112 57-D.W.A.East 74 17 2 100:0 93 59--T.L.凯利74 27 6 100:0 107 88 101 60-R.D.施瓦布74 38 1 100:0 113 104 101 88 86 J.M.C.斯托克74 28 11 100:0 113 100 118 100 100总计632 239 35 100:0 906 660 572 466 401


ASML年度报告2021年237 30.后续事件后续事件的评估截至2022年2月9日,也就是本年度报告中包含的财务报表获得批准的日期。ASML柏林公司为ASML的光刻系统制造零部件,包括晶片台和夹具、刻线卡盘和镜块。2022年1月2日,柏林一座生产建筑的一部分发生火灾,浓烟部分影响了邻近的一座建筑。我们已经能够在这些建筑的部分地区恢复生产。工地上的其他建筑没有受到影响,已经完全投入使用。我们正在进行彻底的调查,并对财务影响进行全面评估。根据我们目前的洞察,我们相信我们可以在不对系统输出造成重大影响的情况下管理火灾的后果。没有其他事件可报告。管理委员会编制:彼得·T·F·M·温林克·马丁·A·范·登·布林克·罗杰·J·M·达森·克里斯托弗·D·福凯·弗雷德里克·施耐德-毛利独立审计师提供的所有审计费用、审计相关费用和允许的服务均须事先获得审计委员会的批准。审计委员会预先核准了2021年和2020年100%的外部审计计划和审计费用。审计委员会监督荷兰、欧盟法规和美国证券交易委员会关于独立审计师提供非审计服务的规则的遵守情况,该规则概述了为荷兰公共利益实体提供的审计服务和咨询服务的严格分离。


ASML年报2021年238公司财务报表


ASML年度报告2021年239公司资产负债表(分配净收入前)截至12月31日的年度(欧元,固定资产6 18,793.2 15,059.5衍生金融工具11 123.8 47.3其他固定资产1.6总固定资产18,918.6 15,107.1流动资产现金及现金等价物5,404.3 5,111.3短期投资5 1,290.9 625.0应付子公司的金额12 3,900.5 1,006.5流动资产51.7 13.7其他流动资产0.4-衍生金融工具11 45.3 39.3总流动资产10,693.1 6,795.8总资产29,611.7 21,902.9股东权益已发行及流通股37.6 36.5股份溢价4,259.2 4,369.4按成本计算的库存股(863.2)(2,422.8)留存收益6,557.5 1,663.0法定准备金1,613.0 2,063.1净收益3,696.8 6,134.6股东权益总额10 15,300.9 11,843.8非流动负债长期负债7 4,614.4 4,042.1递延及其他税务负债4 264.6 339.8非流动负债总额4,879.0 4,381.9流动负债额应计资产12 9,394.2 5,145.8应计负债和其他负债32.7 27.9衍生金融工具11 4.9 3.0长期债务的流动部分7-500.5流动负债总额9,431.8 5,677.2权益和负债总额29,611.7 21,902.9


ASML年度报告2021年240公司损益表截至12月31日的一年(欧元,单位:百万)附注2020 2021年其他收入(0.2)0.4研发成本9(1.5)-销售,一般及行政成本9(20.3)(22.3)营业收入(22.0)(21.9)财务收入3 4.4 9.1财务成本3(23.4)(75.6)所得税前收入(41.0)(88.4)所得税利益(支出)4 37.2(63.5)税后收益(亏损)(3.8)(151.9)子公司净收益6,700.6 6,286.5净收益3,696.8 6,134.6


ASML 2021年年报241公司财务报表附注1.一般信息2.重要会计政策摘要ASML Holding N.V.在集团内担任控股公司。综合财务报表附注所载对集团活动及结构的描述亦适用于本公司财务报表。本公司财务报表是根据《荷兰民法典》第2册第9章编制的。为确定确认和计量资产及负债的原则以及确定公司财务报表的结果,本公司使用《荷兰民法典》第2:362(8)节提供的选项。这意味着,公司财务报表的资产负债确认和计量以及结果的确定原则(下称确认和计量原则)与适用于欧盟-国际财务报告准则合并财务报表的原则相同。这些原则还包括金融工具的分类和列报,即权益工具或金融负债。未提及其他原则的,参照合并财务报表所列会计原则。公司财务报表应与合并财务报表一并阅读。就荷兰税法而言,ASML Holding N.V.与其某些荷兰子公司组成了一个税务联合体,并因此对该联合体的税务债务负有连带责任:该财政联合体由ASML Holding N.V.、ASML荷兰B.V.、ASML Systems B.V.、ASML Trading B.V.和Hermes Microvision InCorporation B.V.组成。编制公司财务报表时使用的会计政策与编制合并财务报表时使用的会计政策相同(根据荷兰民法典第2册第362条第8小节第9部分)。见合并财务报表附注。除该等会计政策外,会计政策亦适用于以下本公司财务报表附注所载的本公司财务报表。除非另有说明,随附的公司财务报表以百万欧元为单位。子公司的投资子公司的投资是本公司直接或间接控制的所有实体。当一个实体面临或有权从其与子公司的参与中获得可变回报时,公司控制着该实体,并有能力通过其对子公司的权力来影响这些回报。附属公司自本公司取得控制权之日起确认,而自本公司对附属公司的控制权终止之日起终止确认。对附属公司的投资按权益法在公司财务报表中入账,资产和负债的确认和计量以及结果的确定原则载于综合财务报表附注。应收附属公司款项及应付附属公司款项按公允价值计量,其后按摊销成本减去信贷损失准备计量。应收账款的账面价值接近公允价值。应付附属公司的金额最初按公允价值确认,其后按摊销成本计量。子公司的净收益子公司的净收益包括本公司在这些子公司的投资中所占的份额。涉及本公司与其在子公司的投资之间以及子公司之间相互转让资产和负债的交易的结果,在可被视为未实现的范围内被剔除。


ASML年度报告2021年242 4.所得税5.短期投资3.财务收入和成本财务收入910万欧元(2020年:440万欧元)主要包括我们与子公司之间的公司间经常账户的净财务收入。融资成本为7560万欧元(2020年:2340万欧元),主要包括我们的欧元债券和相关利率掉期和对冲的净融资成本、摊销融资成本、现金和现金等价物的负利息以及货币结果。关于财务成本的信息,见合并财务报表--合并财务报表附注--附注16--长期债务、利息和其他成本。从荷兰法定税率到实际所得税税率的所得税支出对账如下:截至12月31日的年度(欧元,以百万为单位)2020%1 2021%1所得税前收入(亏损),包括附属公司的净收益3,659.6 100.0%6,198.1 100.0%所得税拨备是基于ASML的国内税率(914.9)25.0%(1,549.5)25.0%关于免税收入的调整925.0(25.3)%1,571.7(25.4)%关于上一年度本期税项的调整9.6(0.3)%6.7(0.1)%关于上一年度递延税项的调整(925.0)0.2%1。4%不确定税务状况负债变动71.4(2.0)%(57.2)0.9%未确认递延税项资产变动--%(21.4)0.3%税率变动的影响(27.4)0.7%(2.9)--%其他抵免和非纳税项目(18.5)0.5%(12.3)0.2%所得税优惠(费用)37.2(1.0)%(63.51.0%1.作为所得税前收入的百分比,包括子公司的净收入。ASML Holding N.V.与它的几家荷兰子公司组成了一个税务联盟,所有可归因于该财政联盟的税收状况都在ASML Holding N.V.的层面上报告。递延和其他税收负债包括2.211亿欧元(2020年:1.766亿欧元)的递延纳税负债净额,其中主要包括该财政联盟内资本化研发支出的递延纳税负债。关于在财政统一框架内结清所得税的信息,见附注8承付款、担保和或有事项。收购日原始到期日大于3个月、1年或以下的投资作为短期投资列报。这些投资的公允价值变动不是临时性的,在公司损益表中确认。短期投资的利率风险微不足道。见合并财务报表--合并财务报表附注--附注4--现金和现金等价物及短期投资。


ASML年度报告2021年243 7.长期债务8.承诺、担保和或有6.金融固定资产金融固定资产与我们对子公司的投资和对子公司的贷款有关。2021年至2020年对子公司的投资和对子公司的贷款的变化如下:(以百万欧元为单位)投资贷款1月1日的总余额,2020年14,713.9 20.2 14,734.1资本偿还/偿还贷款-(1.8)(1.8)收到的股息(283.7)-(283.7)来自子公司的净收益汇率的影响(75.3)(1.6)(76.9)衍生金融工具(2 3.4)-(2 3.4)来自联营公司的其他综合收入的比例(1.3)-(1.3)12月31日的余额,2020年18,776.4 16.8 18,793.2资本出资/增加495.7 18.2 513.9资本偿还/偿还贷款-收到的股息(10,692.1)-(10,692.1)来自子公司的净收入6,286.5-6,286.5汇率的影响95.4 1.9 97.3衍生金融工具38.7-38.7在联营公司其他全面收入中的比例22.0-22.0余额,2021年15,022.6 36.9 15,059.5与ASML Holding N.V.的一家子公司签订了循环信贷安排协议。截至2021年12月31日,可用的信贷安排总额为3000万美元,并计入可变利息。截至2021年底,该信贷安排下的未偿还金额为3,000万美元(2020年:2,050万美元)。有关我们主要子公司的清单,请参阅合并财务报表-合并财务报表附注-附注27子公司和联营公司。长期债务和我们目前的长期债务部分由我们的欧元债券组成。见合并财务报表--合并财务报表附注--附注16--长期债务、利息和其他成本。ASML Holding N.V.根据《荷兰民法典》第二册第403部分第9部分的规定,对以下荷兰子公司承担了连带责任:ASML荷兰公司、Cmer B.V.、ASML Trading B.V.和HMI B.V.。此外,ASML Holding N.V.还担保了截至2021年12月31日的所有未偿债务,直到根据2006年英国公司法第479C条对其海外子公司ASML(UK)Ltd.(注册编号SC176574)全部清偿为止。我们不时就子公司在正常业务过程中进行的交易向第三方提供担保。截至2021年12月31日,我们总共有8400万欧元的未偿还担保。就荷兰税法而言,ASML Holding N.V.与其某些荷兰子公司组成了一个税务联盟,并因此对该联盟的税收债务负有连带责任:截至2021年12月31日,该财政联盟包括ASML Holding N.V.、ASML荷兰B.V.、ASML Systems B.V.、ASML Trading B.V.和Hermes Microvision Inc.B.V.。可归因于该财政联盟的所有税收头寸(当前和递延)均在ASML Holding N.V.的层面上报告。据此,所得税费用根据个人税前利润乘以法定税率分配给荷兰子公司。在财政统一范围内,税务头寸随后与子公司结算。


ASML年度报告2021年244 10.股东权益9.人员ASML Holding N.V.的所有员工都在荷兰。2021年,ASML Holding N.V.的平均雇员人数减少到5人(2020年:6人)。关于管理委员会和监事会(前)成员薪酬的资料,见合并财务报表--合并财务报表附注--管理委员会和监事会薪酬附注28。综合财务报表--综合财务报表附注--披露的其他福利和费用报销--管理委员会和监事会薪酬包括4.55万欧元(2020年:53.4万欧元)的社会保障费用。(净收益拨付前)截至12月31日的年度(欧元,百万欧元)注1股本2股溢价国库股按成本计算的留存收益法定准备金3净收益1月1日的总余额,2020年38.2 4,242.1(1,019.6)6,514.9 1,520.2 2,581.1 13,876.9上一年净收入-2,581.1-(2,581.1)-全面收入组成部分:净收入-3,696.8 3,696.8来自联营公司的保险公司份额-(1.3)-(1.3)外币换算-(75.1)-(75.1)收益(23.3)全面收益总额-(99.7)3,696.8 3,597.1购买库存股--(1,207.5)--(1,207.5)库存股注销(0.7)-1,262.3(1,261.6)-基于股份的付款20-62.9-62.9--(1,066.4)--(1,066.4)发展支出--(192.5)192.5--截至12月31日的余额,2020年37.6 4,259.2(863.2)6,557.5 1,613.0 3,696.8 15,300.9上一年净收入-3,696.8-(3,696.8)-全面收入组成部分:净收入-6,134.6 6,134.6在联营公司的保单份额-22.0-22.0外币换算-95.4-95.4金融工具收益(亏损)4 25---156.2 6,134.6 6,290.8购买库存股--(8,560.3)--(8,560.3)注销库存股(1)-6,926.6(6,925.4)-以股份为基础的付款20-131.7-131.7股票发行.0已支付股息-(1,368.3)--(1,368.3)发展支出-(293.9)293.9--截至12月31日的余额,2021年36.5 4,369.4(2,422.8)1,663.0 2,063.1 6,134.6 11,843.8 1.上表所载附注编号与综合财务报表内的附注有关。2.截至2021年12月31日,已发行股票数量为406,475,276股。这包括已发行和流通股402,601,613股和库藏股3,873,663股。截至2020年12月31日,已发行股份数量为419,497,488股。这包括416,514,034股已发行和流通股以及2,983,454股库存股。3.法定准备金包括根据《荷兰民法典》在某些情况下必须建立的准备金。法定储备金由联营公司的其他全面收入、对冲储备金、货币换算储备金及附属公司的资本化发展开支储备金组成,与我们的综合财务报表所记录的金额相等。见合并财务报表-合并财务报表附注-附注22股东权益。4.金融工具的损益包括在其他全面收入中直接确认的所得税650万欧元(2020年:欧元(320万),2019年:欧元(100万))。


ASML年度报告2021年245 12.应付/应付子公司的金额13.主要会计师费用和服务有关股权的更多信息,请参阅综合财务报表-综合财务报表附注-附注22股东权益。11.衍生金融工具我们使用衍生金融工具来管理外币风险和利率风险。见合并财务报表--合并财务报表附注--附注25财务风险管理。一般本集团因使用金融工具而面临以下风险:·信贷风险;·流动性风险;及·市场风险(包括外币风险和利率风险)。综合财务报表附注载有本集团对上述各项风险的风险敞口、本集团衡量及管理风险的目标、政策及程序,以及本集团的资本管理等资料。这些风险、目标、政策和程序,用于衡量和管理风险,以及资本管理,也适用于ASML Holding N.V.的公司财务报表。公允价值本公司资产负债表所载大部分金融工具的公允价值,包括附属公司贷款、应收账款、银行现金及手头现金及流动负债,均接近其账面价值。有关详情,请参阅附注6财务固定资产及附注12应付/应付附属公司的金额。应付附属公司的利息以每月基本利率加上符合市场的加价计算,应付附属公司的利息以每月基本利率减去少量费用计算,最低为0%。所有应付/应付附属公司的结余均须于要求时偿还。关于审计师费用的信息,请参阅合并财务报表--合并财务报表附注2021年12月31日、2021年12月31日和2020年12月31日远期外汇合约和利率掉期的估计公允价值为:截至2020年12月31日资产负债(以百万欧元为单位)资产负债利率掉期-公允价值对冲162.8-83.9-远期外汇合约-无对冲占6.3 4.9 2.7 3.0合计169.1 4.9 86.6 3.0减去非流动部分:利率掉期-公允价值对冲123.8-47.3-总流动部分45.3 4.9 39.3 3.0


ASML年报2021年246 14.后续活动请参阅附注30。综合财务报表附注所载的后续事项。管理委员会准备:彼得·T·F·M·温林克·马丁·A·范·登·布林克·罗杰·M·达森·克里斯托弗·D·福凯·弗雷德里克·施耐德-莫努里


ASML年度报告2021年247其他信息


ASML年度报告2021年248利润分配我们的组织章程中最重要的规定的简要摘要已包括在综合财务报表-综合财务报表附注-附注22股东权益中。


ASML年度报告2021年249财务报表的采用管理委员会将向股东大会提交我们的年度报告,以及与此相关的独立审计师报告,以供通过。


ASML年度报告2021年250投票权我们受适用于大公司的荷兰法律(“结构性制度”)的相关规定的约束。这些规定的效果是将对某些公司决策和交易的控制权集中在监事会手中。管理委员会成员由监事会任命。监事会应将拟任命的管理委员会成员通知股东大会。股东大会每年至少举行一次。我们不向我们的股东征集或提名代理人。然而,股东和其他有权出席股东大会的人可以由委托书代表。股东大会可在监事会或管理委员会认为必要时举行,如果一名或多名共同代表已发行股本至少10%的普通或累积优先股股东向SB和BoM提出书面要求,详细说明要处理的业务,则必须举行股东大会。决议在股东大会上以绝对多数票通过(除非《章程》或荷兰法律要求不同比例的票数),这类会议一般没有法定人数要求。在股东大会上,每股股份有权投一票。请参阅附注22的进一步细节,包括特别投票权。股东权益。


ASML在比利时、法国、以色列、意大利、俄罗斯、韩国、新加坡和台湾设有分公司,分别以Cmer B.V.比利时分公司、Cmer B.V.法国分公司、Cmer B.V.以色列分公司、Cmer B.V.意大利分公司、ASML香港有限公司韩国分公司、ASML Trading B.V.俄罗斯分公司、ASML香港有限公司新加坡分公司、ASML香港有限公司台湾分公司、ASML台湾分公司、Hermes Microvision股份有限公司台湾分公司、Hermes Microvision股份有限公司台湾分公司和Hermes Microvision股份有限公司台湾分公司经营。


ASML年度报告2021年252提交给:ASML Holding N.V.股东大会和监事会的独立审计师报告2021年年度报告中包括的财务报表审计报告我们认为:·所附合并财务报表真实、公允地反映了ASML Holding N.V.截至2021年12月31日的财务状况及其结果和当时结束的年度的现金流量,符合欧盟(EU-IFRS)采用的国际财务报告准则和荷兰民法典第二册第9部分;·随附的公司财务报表真实、公允地反映了ASML Holding N.V.截至2021年12月31日的财务状况,以及根据《荷兰民法典》第二册第9部分的规定,截至该年度的业绩。我们审计的内容我们审计了总部设在维尔德霍温的ASML Holding N.V.(该公司)2021年的财务报表。财务报表包括合并财务报表和公司财务报表。综合财务报表包括:1.截至2021年12月31日的综合财务状况表;2.2021年综合报表:损益、全面收益、权益变动和现金流量;3.附注,包括重要会计政策摘要和其他说明信息。公司财务报表包括:1.截至2021年12月31日的公司资产负债表;2.公司2021年损益表;3.包含重大会计政策摘要和其他解释性信息的附注。根据我们的意见我们是根据荷兰法律,包括荷兰审计准则进行审计的。根据这些准则,我们的责任在我们报告的“我们对财务报表的审计责任”一节中有进一步的描述。我们独立于ASML Holding N.V.,符合荷兰的《会计准则》(VIO,《专业会计师职业道德守则》,一项关于独立性的规定)和其他相关的独立法规。此外,我们还遵守了《会计准则》(VGBA,荷兰道德准则)。我们的审计程序是在我们对整个财务报表进行审计时确定的。我们对关键审计事项、舞弊和不遵守法律法规、持续经营和与气候有关的风险的意见应在这种背景下看待,而不是作为单独的意见或结论。我们相信我们获得的审计证据是充分和适当的,可以为我们的意见提供依据。在我们已经得到认可的时候


ASML年度报告2021年253我们审计的重要性·2.1亿欧元的重要性·2021年集团审计税前收入的3.0%·覆盖总资产的91%·覆盖总净销售额的88%·收入确认-确定不同的绩效义务和总合同对价的分配,以及收入切断持续经营和欺诈/Noclar·持续经营:未发现重大持续经营风险·欺诈和不遵守法律法规(Noclar):我们确定了审计准则中规定的控制和收入确认的管理层优先事项,假设欺诈风险气候相关风险·公司与气候变化相关的战略已披露·我们已考虑气候相关风险对我们在财务报表意见中识别和评估重大错报风险的影响·不合格重要性基于我们的专业判断,我们确定整个财务报表的重要性为2.1亿欧元(2020年:1.6亿欧元)。重要性主要参考所得税前的收入来确定。在对财务报表使用者的共同信息需求进行分析之后,我们认为税前收益是最合适的基准。我们还考虑到了错误陈述和/或可能的错误陈述,我们认为这些错误陈述和/或可能的错误陈述由于质量原因对财务报表使用者具有重大意义。我们同意监事会审计委员会的意见,在审计过程中发现的超过1,050万欧元的错误陈述将向他们报告,以及我们认为必须以定性理由报告的较小的错误陈述。集团审计范围ASML Holding N.V.是一组实体的领头羊。该集团的财务信息包括在ASML Holding N.V.的财务报表中。该集团在荷兰的业务高度集中,我们的审计方法反映了这一点,但非毕马威会计师事务所为集团报告目的进行的审计除外,该审计涉及Carl Zeiss SMT Holding GmbH&Co.kg的股权。我们指导了非毕马威审计师,召开了讨论审计结果的电话会议,并对报告的信息进行了评估。对于不在审计范围内的剩余人口,除其他外,我们执行了分析程序,以证实我们的风险评估,以确保我们的范围在整个审计过程中仍然是适当的。通过执行上述程序,我们能够获得关于集团财务信息的充分和适当的审计证据,以提供对综合财务报表的意见。总资产和总净销售额的审计覆盖率分别为91%和88%。审核对持续经营的回应-未发现重大持续经营风险管理层已进行持续经营评估,并得出结论认为财务报告以持续经营为基础编制是合理的。为了评估管理层的评估,除其他外,我们执行了以下程序:·我们考虑了管理层对持续经营风险的评估是否包括我们通过审计所了解的所有相关信息;·我们分析了公司截至年底的财务状况,并将其与上一财年进行了比较,以确定重大持续经营风险的指标。


ASML年度报告2021年254我们的风险评估程序的结果没有理由执行额外的审计程序。审计对欺诈和不遵守法律法规的风险的反应我们指的是年度报告中的“我们如何管理风险”和“负责任的业务”两章,其中管理层包括其风险评估。在《监事会报告》一章中,监事会对此进行了反思。作为审计的一部分,我们了解了公司及其业务环境,并评估了公司与欺诈和不合规有关的内部控制。除其他事项外,这些程序包括:·检查和核实员工是否可以获得公司《员工和供应商行为守则》和《直言不讳政策》;·评估公司事件登记册上报告的事项及其调查可能存在欺诈和违规迹象的程序;·评估管理层和其他员工担任的其他职位,并鉴于可能存在的利益冲突,特别注意程序和治理/遵守情况;·评估关于可能存在欺诈和违规迹象的调查报告;·评估与监督当局和监管机构的通信以及法律确认函;·与管理层、监事会审计委员会和其他相关职能进行相关调查,如内部审计和法律。不遵守法律法规我们与我们的法医专家一起评估了不符合规定的风险因素,以考虑这些因素是否表明财务报表中存在重大错报风险。根据上面列出的程序,我们确定了最有可能对财务报表产生重大影响的法律和监管框架:·出口立法(反映公司的全球客户基础);·信息保护立法(反映公司大量的专利和研发支出);·就业立法(反映公司庞大的和地理上多样化的劳动力,包括一般数据保护条例)。我们的审计程序没有揭示被认为对财务报表具有重大意义的不遵守情况的迹象或合理怀疑。我们与我们的法医专家一起确定了欺诈风险因素,并评估了这些因素是否表明财务报表中存在重大错报风险。根据审计准则中规定的假定风险,我们确定了与收入确认和管理层超越控制有关的欺诈风险,其中第一个风险被描述为我们关键审计事项的一部分。管理层的假定风险凌驾于控制之上管理层处于一种独特的地位,可以通过推翻原本看起来有效运作的控制来操纵会计记录和编制欺诈性财务报表。我们确认这一风险主要存在于涉及判断的领域,因为管理层可能会对编制与存货估值、递延税项资产和基于股份的付款有关的估计时使用的不现实或不可靠的假设进行合理化。我们的回应我们评估了设计和实施,并在认为适当的情况下,测试了减少欺诈风险的内部控制的运作有效性,例如与日记帐分录和估计有关的流程。


ASML年度报告2021年255我们与法医专家和IT审计师合作,对高风险日记帐条目进行了数据分析,并评估了公司管理层的主要估计和偏差判断,包括对过去几年关于收入确认(我们指关键审计事项)、库存估值、递延税项资产估值和基于股份的付款的估计的回顾。在我们通过数据分析确定意外日记帐分录或其他风险的情况下,我们执行了额外的审计程序来处理每个确定的风险,包括测试交易回源信息。我们在审计中加入了不可预测性因素,包括选择增加的样本量进行控制测试,并对选定的资产负债表和损益余额进行数据分析,以确定可能增加的欺诈风险。根据我们的观察,我们的审计程序没有揭示被认为对财务报表有实质性影响的舞弊迹象或合理怀疑。对气候相关风险的审计对策管理部门负责根据适用的财务报告框架编制财务报表,包括考虑是否对气候相关风险和承诺的影响进行了适当的核算和披露。管理层在本财务报表中分析了与气候有关的风险对公司未来的业务和运营以及对其会计的影响。根据设定的内部或外部目标评估管理层战略的有效性不在我们审计财务报表的范围内。作为审计的一部分,我们考虑与气候有关的风险对账目和披露的潜在影响,包括当年财务报表中的估计和判断,以确定财务报表是否没有重大错报。这包括与管理层和监事会讨论年度报告“气候与能源”一章中披露的公司与气候变化有关的战略,以及检查会议纪要和外部沟通,以了解管理层做出的与气候相关的重要承诺、战略和计划。我们的主要审计事项主要审计事项是指在我们的专业判断中,对我们的财务报表审计最重要的事项。我们已经向监事会传达了一项关键的审计事项。关键的审计问题并不是所讨论的所有问题的综合反映。这一问题是在我们对整个财务报表进行审计并形成我们的意见时处理的,我们不就此问题提供单独的意见。收入确认-确定不同的履约义务和分配总合同对价,以及收入截止说明综合财务报表附注2中披露,截至2021年12月31日的一年,系统净销售额为136.528亿欧元。系统销售通常是根据批量采购协议(VPA)与客户签订的。这些VPA包含多项履约义务,例如交付货物、安装、保修和培训。一旦确定了这些履约义务,合同的全部对价,包括折扣、免费提供的商品或服务以及可用于未来购买的积分,都将分配给履约义务。我们将收入确认,特别是确定增值税中的履约义务,以及合同总对价的分配,包括折扣、提供可用于未来购买的免费商品或服务和积分,作为一项关键审计事项,因为这本身就是一项判断,而且很复杂。因此,评估公司对已确定的履约义务的判断,特别是对要交付的系统数量的估计,以及将合同总对价分配给这些履约义务,需要高度的审计师判断力。


ASML年报2021年256此外,考虑到单个系统的高价值,我们发现了一个风险,即当控制权转移到客户手中时,与系统销售相关的收入不会得到确认,导致收入不会在正确的会计期间得到确认。这些风险本质上包括管理层故意夸大或低估收入的欺诈风险,因为管理层可能会感受到实现计划结果的压力。我们的回应以下是我们为解决这一关键审计问题而执行的主要程序。我们对设计进行了评估,并测试了与关键审计事项相关的某些内部控制的运作效果。这包括与VPA评估有关的控制,以确定履约义务和将合同总对价分配给这些履约义务,正确应用于个别销售交易,以及转移会计期间结束时确认的收入的控制评估。我们通过检查选定的VPA和相关文件、执行与公司相关运营职能的查询以及进行敏感性分析来评估绩效义务的确定和合同对价总额的分配,以评估预计交付的系统数量对分配的影响。此外,我们测试了VPA下选定的公认销售交易,并对前期估计进行了回顾,以评估管理层估计要交付的系统数量的能力。此外,我们检查了公司用于将合同对价分配到已确定的履约义务的模型的准确性。最后,我们在年终前后检查了一批个别销售交易,以评估收入是否在正确的会计期间确认,其中包括检查增值税和采购订单,检查客户验收文件,向第三方查询和进行库存清点。我们观察到,我们的程序在确认已确定的不同履约义务的收入确认、在复杂收入合同中分配合同总对价以及与年终前后系统销售有关的收入截断方面取得了令人满意的结果。年度报告中包含的其他信息除财务报表和我们的审计师报告外,年度报告中还包含其他信息。根据执行的以下程序,我们得出结论,其他信息:·与财务报表一致,不包含重大错报,以及·包含《荷兰民法典》第二卷第9部分要求的管理报告和其他信息。我们已经阅读了其他信息。根据吾等通过审计财务报表或其他方式获得的知识和理解,吾等已考虑其他信息是否包含重大错报。通过执行这些程序,我们符合《荷兰民法典》第二册第9部分和荷兰标准720的要求。所执行程序的范围小于我们审计财务报表时所执行的程序的范围。ASML Holding N.V.的管理层负责准备其他信息,包括《荷兰民法典》第二册第9部分所要求的信息。


ASML年度报告2021年257关于其他法律和监管要求以及ESEF其他法律和监管报告要求的报告我们于2015年4月30日被股东大会聘请为ASML Holding N.V.的审计师,截至2016年的审计,并自该年以来一直作为审计师运营。我们没有提供欧盟条例第5(1)条中提到的被禁止的非审计服务,该条例关于对公共利益实体的法定审计的具体要求。欧洲单一电子格式(ESEF)ASML Holding N.V.在欧洲单一电子格式(ESEF)准备了年度报告。关于单一电子报告格式规范的监管技术标准,欧盟委员会授权条例(EU)2019/815中规定了这种格式的要求(这些要求在下文中称为:ESEF上的RTS)。我们认为,以XHTML格式编制的年度报告,包括ASML Holding N.V.报告包中包含的部分加标签的综合财务报表,在所有重要方面都是根据ESEF的RTS编制的。管理层负责根据ESEF的RTS编制包括财务报表在内的年度报告,从而将各种组成部分合并为一个单一的报告包。我们的责任是为我们的意见获得合理的保证,以确定本报告包中的年度报告是否与ESEF的RTS一致。我们的程序考虑了NBA(荷兰特许会计师协会)的Alert 43,其中包括:·了解实体的财务报告流程,包括报告包的准备;·获取报告包并执行验证,以确定包含内联XBRL实例文档和XBRL扩展分类文件的报告包是否已按照ESEF上的RTS中包含的技术规范编制;·检查报告包中与合并财务报表相关的信息,以确定是否应用了所有必需的标记,以及这些是否符合ESEF上的RTS。财务报表责任说明管理层和财务报表监事会根据欧盟国际财务报告准则和《荷兰民法典》第2卷第9部分负责财务报表的编制和公平列报。此外,管理层应对管理层认为必要的内部控制负责,以便能够编制没有重大错报的财务报表,无论是由于欺诈还是错误。在这方面,管理层在监督委员会的监督下,负责预防和发现欺诈和不遵守法律和条例的行为,包括确定解决其后果和防止再次发生的措施。作为编制财务报表的一部分,管理层负责评估公司作为持续经营企业继续经营的能力。根据上述财务报告框架,管理层应使用持续经营会计基础编制财务报表,除非管理层打算清算本公司或停止运营,或除了这样做之外别无选择。管理层应在财务报表中披露可能对公司作为持续经营企业的持续经营能力产生重大怀疑的事件和情况。监事会负责监督公司的财务报告流程。我们对财务报表的审计责任我们的目标是以一种使我们能够为我们的意见获得充分和适当的审计证据的方式来计划和执行审计工作。我们的审计是在很高的但不是绝对的保证水平下进行的,这意味着我们可能无法在审计期间发现所有重大错误和舞弊。


ASML 2021年258年度报告中的错误陈述可能是由欺诈或错误引起的,如果能够合理地个别或总体地认为这些错误陈述会影响用户根据这些财务报表作出的经济决定,则被视为重大错误。重要性影响我们审计程序的性质、时间和范围,以及对已发现的错误陈述对我们意见的影响的评估。我们对财务报表审计责任的进一步说明载于本审计员报告的附录。这些描述构成了我们审计师报告的一部分。安斯特尔文,2022年2月9日毕马威会计师事务所


ASML年度报告2021年259附录描述我们对财务报表的审计责任我们根据荷兰审计准则、道德要求和独立性要求,在整个审计过程中发挥了专业判断并保持了专业怀疑态度。除其他外,我们的审计包括:·识别和评估财务报表重大错报的风险,无论是由于欺诈还是错误,设计并执行针对这些风险的审计程序,以及获得充分和适当的审计证据,为我们的意见提供依据。由于欺诈可能涉及串通、伪造、故意遗漏、歪曲陈述或凌驾于内部控制之上,因此无法发现由欺诈造成的重大错报的风险高于错误造成的风险;·了解与审计有关的内部控制,以便设计适合情况的审计程序,但不是为了对公司内部控制的有效性发表意见;·评价所用会计政策的适当性以及管理层作出的会计估计和相关披露的合理性;·总结管理层使用持续经营会计基础的适当性,并根据获得的审计证据,是否存在与事件或条件有关的重大不确定性,这些事件或条件可能使人对公司作为持续经营企业的能力产生重大怀疑。如果我们得出结论认为存在重大不确定性,我们必须在我们的审计师报告中提请注意财务报表中的相关披露,或者如果此类披露不充分,则需要修改我们的意见。我们的结论是基于截至我们的审计师报告日期所获得的审计证据。然而,未来的事件或条件可能会导致一家公司停止作为一家持续经营的企业继续经营;·评估财务报表的整体列报、结构和内容,包括披露;以及·评估财务报表是否以实现公平列报的方式代表基本的交易和事件。吾等对该意见负完全责任,并因此有责任就本集团内实体或业务活动的财务资料取得足够适当的审计证据,以表达对财务报表的意见。在这方面,我们还负责指导、监督和执行集团审计。吾等与监事会审计委员会就(其中包括)审计的计划范围和时间以及重大审计结果(包括我们在审计期间发现的任何内部控制方面的重大发现)进行沟通。在这方面,我们还根据欧盟条例第11条关于对公共利益实体进行法定审计的具体要求,向审计委员会提交另一份报告。本补充报告中包含的信息与我们在本审计师报告中的审计意见一致。我们向监事会审计委员会提供一份声明,表明我们遵守了有关独立性的相关道德要求,并与他们沟通所有关系和其他可能被合理地认为与我们的独立性有关的事项,以及在适用情况下的相关保障措施。从与监事会审计委员会沟通的事项中,我们确定了关键的审计事项:对财务报表审计最重要的事项。我们在我们的审计师报告中描述了这些事项,除非法律或法规禁止公开披露该事项,或者在极其罕见的情况下,不传达该事项符合公众利益。


ASML年度报告2021年260非财务报表


ASML年报2021年261独立审计师向:ASML Holding N.V.的股东大会和监事会提交的保证报告。我们的结论我们已审阅了ASML Holding N.V.(以下简称:“本公司”)截至2021年12月31日止年度的非财务资料(下称:非财务资料)。根据所执行的程序,吾等并无注意到任何事项令吾等相信该等非财务资料在所有重大方面并非按照吾等报告“呈报准则”一节所述的呈报准则编制。非财务信息包括:2021年概览(第4-7页)、我们是谁和我们做什么(第8-26页)、我们的战略(第33-36页)、我们在2021年的表现(第37-40页和第51-138页)和非财务报表(250-277页),但不包括欧盟分类(256-257页)。我们的结论依据我们按照荷兰法律进行审查,包括荷兰标准3810N:“与可持续性报告有关的保证活动”,这是一项特定的荷兰标准,基于国际保证活动标准(ISAE)3000:“审计或审查历史财务信息以外的保证活动(证明活动)”。这种接触的目的是获得有限的保证。我们在这方面的责任在我们报告的“审计员的责任”一节中作了进一步说明。我们独立于ASML Holding N.V.,根据《会计准则》(VIO,一项关于独立性的规定),独立于ASML Holding N.V.。此外,我们还遵守了《会计准则》(VGBA,荷兰道德准则)。我们相信,我们所获得的保证证据是充分和适当的,可以为我们的结论提供基础。报告标准非财务信息需要与报告标准一起阅读和理解。ASML Holding N.V.单独负责选择和应用这些报告标准,并考虑到与报告相关的适用法律和法规。用于编制非财务信息的报告准则为全球报告倡议(GRI)的可持续性报告准则以及年报“关于非财务信息”一节披露的适用补充报告标准。重要性基于我们的专业判断,我们为非财务信息的每个相关部分以及作为一个整体的非财务信息确定了重要性水平。在评估我们的重要性水平时,我们考虑了定量和定性的考虑因素,以及信息与利益相关者和公司的相关性。我们同意监事会的意见,即应向监事会报告在审查期间发现的、我们认为必须在数量或质量基础上报告的错误陈述。集团审查范围ASML Holding N.V.是一组实体的母公司。非财务信息在年报“关于非财务信息”中规定的范围内纳入了这组实体的综合信息。我们的小组审查程序包括公司(合并)层面和实体层面的审查程序。我们在审查程序范围内选择实体的主要依据是这些实体对综合信息的个别贡献。通过在实体层面执行我们的审核程序,以及在公司层面执行额外的审核程序,我们能够获得关于集团非财务信息的充分和适当的保证证据,以提供关于非财务信息的结论。6 39 0 40 6 89 67-68)。5(2 5


ASML年度报告2021年262对我们审查范围的限制非财务信息包括预期信息,如雄心、战略、计划、预期和估计。从本质上讲,未来的实际结果是不确定的。我们不对非财务信息中的预期信息的假设和可实现性提供任何保证。非财务信息中对外部来源或网站的引用不是我们审查的非财务信息本身的一部分。因此,我们不对此信息提供保证。管理委员会的责任本公司的管理委员会负责根据本报告“报告准则”一节所述的适用准则编制非财务资料,包括确定利益相关者和重大事项的定义。管理委员会就非财务信息的范围和报告政策所作的选择在年报的“关于非财务信息”一节中概述。此外,管理委员会负责它认为必要的内部控制,以便能够编制无重大错报的非财务信息,无论是由于欺诈还是错误。除其他事项外,管理委员会负责监督公司的报告程序。审计师的职责我们的责任是计划和执行我们的审查,使我们能够为我们的结论获得足够和适当的确凿证据。为获得有限程度的保证而执行的程序旨在确定信息的可信程度,在性质和时间上有所不同,而且与合理的保证接触相比,程度较小。在有限的保证活动中获得的保证水平大大低于在进行合理的保证活动时所获得的保证水平。我们应用‘Nadere Voorschriten KwaliteitsSysyen’(NVKS,质量管理体系的规定),并相应地保持一套全面的质量控制体系,包括与遵守道德要求、专业标准以及适用的法律和法规要求有关的文件化政策和程序。根据荷兰标准3810N、道德要求和独立性要求,我们在整个审查过程中发挥了专业判断并保持专业怀疑态度。我们的审查包括:·对外部环境进行分析,了解相关的社会主题和问题,以及公司的特点;·评估所使用的报告标准的适当性、其一致应用和非财务信息中的相关披露。这包括评价利益攸关方对话的结果和管理委员会作出的估计的合理性;·了解非财务信息的报告程序,包括对与我们的审查相关的内部控制有一般了解;·确定非财务信息中最有可能发生重大错报的领域,无论是由于欺诈还是错误,设计和执行针对这些领域的保证程序,并获得充分和适当的保证信息,为我们的结论提供基础。我们的程序包括:-采访负责战略、政策和结果的管理层和相关人员;-采访负责为非财务信息提供信息、对非财务信息执行内部控制程序并将其中的数据合并的相关人员;-获得非财务信息与公司基本记录相符的保证信息;-在有限的测试基础上审查相关的内部和外部文件;-对数据和趋势进行分析审查。·评价非财务信息与报告中未列入我们审查范围的信息的一致性;·评价非财务信息的列报、结构和内容;·考虑包括披露在内的整个非财务信息是否反映了所用报告标准的目的。除其他事项外,我们已与管理委员会和监事会就审查的计划范围和时间以及我们在审查期间确定的重要结论进行了沟通。安斯特尔文,2022年2月9日毕马威会计师事务所


ASML年度报告2021年263关于非财务信息报告范围本年度报告1披露的内容基于2018年进行的全面重要性评估为ASML和我们的利益相关者确定的重大主题。作为重要性评估的一部分,我们要求内部和外部利益相关者确定主题在价值链中的哪里有影响,我们在哪里包括GRI标准所要求的边界)。更多内容请阅读:非财务报表-重要性评估。重要性评估被用作制定2019-2025年期间可持续发展战略的投入。(关键)已确定绩效指标来报告我们执行这一可持续发展战略的情况。在我们的投资者日期间,我们宣布了我们最新的可持续发展战略,我们将于2022年报告这一战略。报告范围表(见下一页)澄清了按主题报告的数据范围,并解释了所提供数据的范围与报告内容范围的不同之处。本年度报告一般涵盖ASML在2021年1月1日至2021年12月31日的业绩。除非另有说明,本报告中的财务信息来源于我们符合欧盟国际财务报告准则的财务报表。本报告中有关我们在可持续发展领域的表现的信息的报告基础是根据GRI可持续发展报告标准编制的,并根据“核心”选项列报。我们遵守GRI标准(GRI内容索引)的详细信息可在网站上提供的单独报告副刊中找到。作为欧盟非财务报告指令(NFRD)的一部分,我们将非财务信息和多样性信息的披露纳入其中。这一披露于2017年实施,并作为《荷兰民法典》的一部分颁布。此外,根据《分类条例》第8条,自2022年1月1日起,ASML在2021年报告年度内须遵守某些披露要求。更多内容请阅读:欧盟分类。1.我们出版了两份年度报告。年度报告的一个版本是按照美国公认会计准则编制的。年度报告的另一个版本是根据欧盟-国际财务报告准则编写的,也符合《荷兰民法典》第二册362.9条。出于内部和外部报告的目的,我们采用美国公认会计准则。美国公认会计原则是我们设定财务和运营业绩目标的主要会计标准。报告流程每个主题都有一名负责人,负责主题抱负、战略和相关业绩指标,以及及时提供报告和监测战略执行情况的内容和相关数据。数据由财务部门审核和合并。财务部门还负责年度报告的报告和规划过程。报告指标本报告所包括的合并财务报表是经过审计的。更多信息请参阅:其他信息-独立审计师报告。本报告披露的非财务数据来自不同的来源,我们运营的子公司和部门内部处理数据的方式也不同。由于测量和估计数据的局限性,这造成了一定程度的不确定性。我们继续致力于改善我们的可持续性控制环境和数据收集流程。客户群中的活跃系统我们监控我们所服务的客户群中活跃系统的数量。这包括我们的EUV、DUV和PAS5500系统。我们计算了所有已售出的仍在使用的系统(EUV、DUV和PAS5500系统)的百分比。外地的一些系统可能没有得到ASML的服务,但可以运作。对于指标‘活动系统的百分比’,我们对活动但未由ASML提供服务的系统部分进行假设。根据历史信息和经验,我们确定33%的非ASML服务系统仍在该领域处于活动状态。范围3排放我们测量和报告价值链中我们活动的间接排放-范围3排放。这一类别包括我们运营产生的排放,以及客户对我们产品的上游供应链和下游使用产生的排放。更多内容请阅读:我们在2021年的表现-环境-气候和能源-碳足迹战略。


ASML《2021年年度报告》264在使用所报告的信息时,需要考虑以下方法、假设和数据可靠性:·由于其性质,范围3的排放数据包括时间滞后。因此,报告年度报告的排放量是使用一年前的实际数据来源计算的。·报告的排放量符合《温室气体议定书》,并按照《温室气体议定书》发布的范围3核算和报告标准中所述的九个类别进行计算,被认为与我们和我们的价值链有关。·这些类别包括:第1类采购的商品和服务、第2类资本货物、第3类与燃料和能源有关的活动、第4类和第9类上游/下游运输和分销、第5类经营产生的废物、第6类商务旅行、第7类员工通勤、第11类销售产品的使用,以及第12类销售产品的报废处理。其余五个类别被认为与ASML和我们的价值链无关或无关紧要。因此,我们将这些类别排除在我们的范围3排放评估之外。·用于计算我们价值链碳足迹的应用排放系数来自最新的DEFRA(英国环境、食品和农村事务部)2021年的排放系数。·适用于范围3第11类已售出产品使用的计算方法的基础是系统能量计量的Semi S23标准。此外,我们还应用了某些假设,如系统可用性水平和性能水平。这些可能会因系统增强而更改加班时间。·适用于范围3第1类采购的货物和服务的计算方法的基础是支出。因此,它依赖于基于支出的排放系数,这是对商品和服务温室气体强度的间接衡量。·此外,我们还从供应商那里收集了Cat.4上游运输和配送以及Cat.6商务旅行的实际排放数据,这些数据约占范围3总排放量的3%。


ASML 2021年265年度报告报告范围表下表澄清了按主题报告的数据范围,并解释了所提供数据的范围与报告内容范围的不同之处。被排除在以下范围内的公司没有某些子章的数据。(子)年度报告范围我们是谁和我们做什么我们如何创新ASML全球客户亲密度ASML全球财务业绩指标ASML全球气候和能源碳足迹战略ASML地点超过250 FTE,不包括BG循环经济减少我们运营中的浪费ASML地点超过250 FTE,不包括BG循环经济从已安装的ASML产品中重复使用部件和材料,不包括通过翻新ASML产品的BG循环成熟产品,不包括BG我们的人展望ASML全球,不包括BG统一文化ASML全球,不包括BG全球员工体验ASML,不包括BG-注:指标‘缺勤率’不包括Cmer和HMI。由内部候选人填补的指标空缺职位的范围(%)不包括ASML美国。强大的全球领导力ASML,不包括BG确保全球员工安全ASML,不包括BG社区参与社区参与计划ASML,不包括BG-注:技术促进是ASML荷兰唯一的ASML基金会全球ASML,不包括BG与全球研究机构和大学的创新生态系统合作ASML,不包括BG与全球研发合作伙伴ASML,不包括HMI和BG支持初创企业和规模ASML荷兰负责的供应链采购和供应链战略ASML全球,不包括BG供应商绩效管理ASML全球,不包括BG负责的供应链ASML全球,不包括BG负责的供应链ASML,不包括BG负责的商业道德和行为准则ASML全球,不包括BG产品安全ASML产品水管理ASML地点超过250 FTE,不包括BG-不包括总超纯水消耗量和总回收和再利用水,仅限于荷兰的Veldhoven、台湾的林口和台南的HMI。REST ASML全球


ASML 2021年年度报告266范围变化·与2020年年度报告相比,范围发生了以下变化:·2021年非财务数据的“我们业务的碳足迹”、“水管理”和“减少浪费”的范围扩大,制造地点为“圣何塞”、“台南”和“其他”。其他包括总和超过250 FTE的地点,不包括BG。·《GRI 306:2020年废物》要求将“从处置中转移的废物”和“直接用于处置的废物”区分开来。《循环经济-减少废物》的非财务数据布局已更改,以符合最新的废物GRI。·将2019年、2020年和2021年的非金融数据按地区划分,扩大了“公平薪酬”的范围。·“培训总开支”的来源从人力资源报告变为更详细的SAP报告。·自2021年起,优信不再对韩国进行总体排名。2021年报告的结果是基于定制的排名报告。我们修正了荷兰队2020年的成绩,包括了总排名。根据管理委员会的要求,我们对非财务信息进行了独立审查。我们的外部审计师(毕马威)被要求审查这些非财务信息。关于毕马威的保证报告,包括其开展工作的细节,请参阅:非财务报表--独立审计师的保证报告。


ASML年度报告2021年267欧盟分类法规(‘EU Taxonomy’)包含一份经济活动清单和业绩标准,以评估经济活动对六个不同环境目标的贡献。其目的是围绕可持续的经济活动创造一种普遍的语言和理解。欧盟分类的报告要求包括披露有关活动如何以及在多大程度上与欧盟分类定义的环境可持续活动相关联的信息,使用可持续营业额、资本支出(资本支出)和运营支出(运营支出)比例的关键绩效指标(KPI)。2021年12月9日,欧洲联盟正式通过了其授权的分类条例,其中规定了前两个环境目标的详细要求:“减缓气候变化”和“适应气候变化”。2021年的报告要求仅限于披露符合条件的经济活动。ASML符合本授权条例中对经济活动的描述。目前,关于如何解释欧盟分类法的指导意见很少。相关的规则和条例仍在制定中,更多的经济活动和环境目标有待进一步阐述,未来几年将提供更多的指导。评估ASML将整个价值链考虑在内,ASML预计将为其他部门的温室气体减排做出重大贡献,如我们的战略和气候与能源部分所披露的那样。ASML是显著减少能源消耗和温室气体排放的技术的推动者。然而,根据目前适用的资格标准,ASML的制造技术必须直接使其他活动能够在环境表现的低碳水平下进行,以实现这一目标。此外,活动3.6还要求制造技术的经济活动必须以大幅减少其他经济部门的碳排放为目标,以实现这一目标。ASML认为,目前没有足够的指导来确定在哪些条件下满足这一“目的”的标准。因此,我们选择目前披露的ASML总净销售额的0%作为合格的。资本支出:欧盟分类下的资本支出总额涉及以下合并财务报表项目:·房地产、厂房和设备投资(附注13)·无形固定资产投资(附注12)·使用权资产的增加(附注14)与符合分类标准的活动有关的资本支出总额的比例是通过评估每个资产组的经济活动来确定的。这项评估得出的结论是,符合条件的资本支出仅限于“对房地产、厂房和设备的投资”,因为它可以与以下经济活动挂钩:·4.1利用太阳能光伏技术发电·5.5收集和运输来源分离的非危险废物·6.4个人移动设备的运营、循环物流这导致符合分类条件的活动达到0.1%。运营支出:欧盟分类下的运营支出总额涉及以下合并财务报表行项目:·研发成本与符合分类标准的活动相关的运营支出总额的比例通过评估未资本化但与资产负债表上的资产直接相关的成本的经济活动来确定。这些可与以下经济活动联系在一起:·9.1接近市场研究、开发和创新这导致符合分类条件的活动达到1%。营业额:根据欧盟分类,营业额总额等于合并财务报表中“净系统销售额”和“净服务、安装和现场选项销售额”项下的“总净销售额”。符合分类标准的活动占总营业额的比例是通过评估ASML执行的营业额产生活动是否包括在欧盟分类中来确定的。在目前的欧盟分类下,没有任何活动明显与ASML的业务相匹配。这可以(除其他外)解释为,欧盟优先确定能源和交通运输等温室气体排放高的部门的经济活动。活动3.6“为其他部门制造低碳技术”与ASML的业务活动联系最密切,因为这项活动与NACE代码28.99“半导体制造机械的制造”相关。


ASML年度报告2021年268在欧盟分类法中对上述活动的描述没有重叠。因此,在确定所列的三个合格关键绩效指标时,不存在重复计算的风险。此外,对反洗钱组织现有业务活动的细目进行了核对,这也限制了经济活动之间重复计算的风险。我们根据KPI确定了我们的2021年符合欧盟分类标准的经济活动如下:分类法规KPI(非GAAP措施)营业额资本支出运营支出与IFRS合并财务报表的链接房地产、厂房和设备研发成本的总净销售投资分类符合条件的活动(%)0%*0.1%**1%分类不符合条件的活动(%)100%100%99%总计100%100%100%*0.1%主要与太阳能电池板和废物分类垃圾箱的投资有关。**1%主要用于致力于减少、避免或消除温室气体排放的项目的研究费用


公司机密-草案-有待进一步审查ASML年度报告2021年269非财务指标非财务关键绩效指标(KPI)在我们在半导体价值链中所处位置的可持续发展报告的不同章节中报告。下表报告了其他非财务业绩指标。客户亲密度描述2019 2020 2021评论总体忠诚度评分(客户反馈调查)n/a 72.6%n/a调查每24个月进行一次(上一次调查在2020年9月进行)VLSI调查结果芯片制造设备的大型供应商-Score(评分0至10)9.2 9.3 9.2 FAB设备的供应商-Score(0至10)9.2 9.3 9.2光刻设备的技术领先地位-Score(0至10)9.6 9.7 9.5


ASML年度报告2021年270气候与能源-能源描述2019 2020 2021评论能源消耗(TJ)1,367 1,412 1,689全球节能通过项目(TJ)80 114 13 2021年我们开始了2021-2025年新的总体规划期,目标是到2025年底实现100 TJ的节能。节余是通过改进技术安装的项目或改进生产工艺的项目实现的。节余中包括的能源类型:燃料和电力。2019年和2020年的数据与2016-2020年总体规划相关。报告的节余与基准年相比是累计的,因此它们不具有可比性。每个地点购买的电力(在德克萨斯州)维尔德霍温751 802 881威尔顿102 114 120林口36 35 34圣地亚哥162 167 176圣何塞--自2021年起该指标的范围为28。台南--自2021年以来,这一指标的范围为36个。其他--自2021年以来这一指标的范围为47个。其他包括超过250 FTE总和的地点。从不可再生资源中消费的化石燃料总数为1 051 1 118 1 322(以台湾省为单位)1所消费的化石燃料仅包括天然气。维尔德霍温159 141 184威尔顿111 112 127林口-这个生产基地不使用天然气。圣地亚哥46 40 43圣何塞--自2021年以来,该指标的范围为5个。台南-自2021年以来一直在这一指标的范围内。这个生产基地不使用天然气。其他--自2021年以来这一指标的范围为8个。其他包括超过250 FTE总和的地点。来自可再生能源的燃料总数316 293 367(以台湾省为单位)-1.所用换算系数的来源为荷兰排放管理局和美国能源情报署。


ASML年度报告2021 271气候与能源-二氧化碳排放说明2019 2020 2021评论排放强度(范围1+2+3)0.01 0.61 0.47 2020年排放强度的定义发生了变化,计算方法为范围1、2和3排放量(以千吨为单位)除以总收入(以百万为单位)。重新计算的2019年数字为0.56。2019年,排放强度的计算方法是:范围1和范围2的净排放量(单位:千吨)除以总收入(单位:百万)。到2020年,范围3将包括在计算中。能源属性证书类型(TJ)原产地担保(GO)751 802 883可再生能源证书(REC)264 281 331 I-RECS-35-总计1,015 1,118 1,214原产地能源属性证书(GO)类型116 110 121可再生能源证书(REC)21 21 24 I-RECS-9-总计137 140 145重大罚款和非货币制裁-1-2020年,北京人机局因没有环境许可证而被罚款一次。因不遵守环境法律法规而被处以的巨额罚款的货币价值(千欧元)-70-


ASML年度报告2021 272循环经济-废物管理说明2019 2020 2021评论产生的总废物(单位:1,000公斤)1总非危险废物4,565 4,654 5,284总危险废物362 372 395总建筑废物608 231 199总废物5,535 5,257 5,878总废物非现场处理,无现场处理。按处置方式划分的废物总数(以1,000公斤计)1从处置中转移的废物4,532 4,466 4,544转作处置的废物1,003 791 1,334总数5,535 5,257 5,878从处置中转移的废物:循环再用1我们实行废物循环再用。其他类别,如准备重复使用和堆肥,不适用于我们。非危险废物总数3,618 3,911 4,028危险废物总数336 349 346建筑废物总数578 206 170处置废物总数4,532 4,466 4,544处置:焚烧(含能量回收)1非危险废物总数567 411 938因供应商改变废物处理方式而增加。我们与供应商签订了回收相关废物的协议。危险废物总数9 9 16建筑废物总数20 20 17总数596 440 971处置的废物总数:焚烧(不回收能源)1非危险废物总数37 3 51危险废物总数15 13 27建筑废物总数0 0总数52 16 78处置的废物总数:堆填区1非危险废物总数343 329 267危险废物总数2 1 6建筑废物总数10 5 12总数355 335 285处置的废物总数(占经营废物总数的百分比)1焚烧(回收能量)12%8%17%焚烧(不回收能量)1%-1%7%7%5%总计20%15%23%使用过的光刻系统售出26台22 23台寿命延长的成熟系统。1.废物处理方法由废物处理承包商提供的资料决定。截至2021年,我们按照GRI的要求将全部垃圾分为直接处置的垃圾和从处置中转移的垃圾。2019年和2020年的比较数据进行了调整,以披露这一比例。


ASML年度报告2021 273 ASML亚洲欧洲美国2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021 2021 2021就业人数(FTE)23,219 25,082 28,747 5,664 6,027 7,404 12,393 13,627 15,444 5,162 5,428 5,899女性(单位:%)16 17 18 16 17 17 16 17 18 17 17 17男性(单位:%)84 83 1,399 2,095 68 30 1,339 87 1,786 274 283女性(单位:%)16 18 34 28 19 19 19 20 11 11,444 5,162 5,428 5,8997 8男性83 84 82 66 72 81 81 80 89 93 92总计24,900 26,481 30,842 5,732 6,057 7,430 13,732 14,714 17,230 5,436 5,710 6,182(按年龄组别划分)50 4,130 4,556 5,158 201 238 305 2,430 2,718 3,182 1,499 1,600 1,671未知1 270 279 282 1 1--269 278 281总计24,900 26,481 30,842 5,732 6,057 7,430 13,732 14,714 17,230 5,436,710 6,182 1临时工的年龄登记不是强制性的。全职50 276 389 434 2 1 270 378 423 4 9 10总计1,581 1,765 1,900 33 3 3 1,570 1,749 1,884 8 13兼职全职雇员人数(按性别划分)女性37 37 17--37 37 62 46 27男性63 63 63 83 100 100 63 63 38 54 73


2021 274 ASML年度报告2021 274新聘员工总数2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021新聘员工数量2,219 1,932 4,373 558 598 1,848 1,102 879 1,737 559 455 788新聘员工人数占总就业人数的百分比10 8 15 10 10 25 9 6 11 11 8 13性别女性542 454 896 123 313 280 216 432 139 115 151男性1,677 1,478 3,477 435 475总计2,219 1,932 4,305 440 637 637 1,358 598 1,848 1,879 1,759 455 788年龄组别10 8 15 10 25 9 6 11 11 8 13性别女性542 454 896 123 313 280 216 432 139 115 151男性1,677 1,478 3,477 435 435 475 1,219 1,932 4,305 440 637 637 1,358 598 1,848 1,879 1,759 455 788年龄组别10 15 10 10 25 9 6 11 11 8 13性别女性542 454 896 123 313 280 216 432 139 115 151男性1,677 1,478 3,477 435 435 475 1,219 1,932 4,305 440 640 637 637 1,358 598 1,848 1,879 1,759 555 788年龄组190 7 7 6 79 59 106 74 65 78未知2 2总计2,219 1,932 4,373 558 598 1,848 1,102 879 1,737 559 455 788员工流失总数(全时当量)ASML亚洲欧洲2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021非自愿流失雇员人数177 186 199 40 38 41 80 102 101 57 46 57 57 57自愿流失雇员人数761 723 1,234 198 201 421 257 239 341 306 283 472总计938 909 1,433 238 239 462 337 341 363 329 529性别196 189 258 56 78 72 69 91 64 720 720183 384 265 272 353 294 265 438总计938 909 1,433 238 239 462 337 341 442 363 329 529年龄组50 200 212 290 16 17 27 78 95 116 106 100 147总计938 909 1,433 238 239 462 337 341 442 363 329 529 2019 2020 2021按性别划分的员工敬业度评分We@ASML评论女性75%80%78%男性77%80%78%


ASML年度报告2021 275我们的人员-员工敬业度描述2019 2020 2021评论员工流失率(以%为单位)4.3 3.8 5.4高绩效员工的流失率(以%为单位)2.4 1.7 2.6高绩效员工是指在年度员工绩效评估中被评为“特殊”或“超出预期”的员工。推广率-整体表现优秀员工的推广率(单位:%)38 37 40缺勤(单位:%)亚洲1 0.4 0.5 0.7在一些亚洲国家,病假被视为年假,因此与疾病有关的缺勤被记录为0%。欧洲2.6 2.3 2.4美国1.6 1.3 1.4我们的员工参与度说明2019 2020 2021评论由内部候选人填补的空缺职位(以%为单位)36 30 29轮换比率(以%为单位)18 20 13人力资本投资回报率(ROI)2.1 2.4 3.0人力资本投资回报率的计算方法为总净销售额减去不包括员工工资和福利总额的总运营费用除以员工工资和福利总额。人员绩效管理流程完成率(%)97 97 95发展行动计划完成率(%)76 77 74奖学金数目奖学金数目荷兰53 49 50奖学金数目美国--7奖学金数目台湾-16 24奖学金数目中国-5 5奖学金数目韩国-3 5


ASML年度报告2021 276我们的人员-员工敬业度说明2019 2020 2021评论总培训费用(以百万欧元为单位)19 12 27 MyLearning(学习管理系统)中记录的技术和非产品相关课堂培训的自付费用。每个全职教育用于培训和发展的平均支出(欧元)836 494 1020每个全职教育的培训总时数包括技术和非产品相关的培训时数(包括提名课程)。女性41 26 25男性46 29 30每FTE技术培训时数加权平均45 28 29每FTE技术培训时数计算方法为总技术培训时数除以在运营和研发技术部门工作的FTE总工资。女性35 22男性41 27 29加权平均40 26 28每FTE非产品相关培训时数不包括提名课程(领导力发展计划)女性13 7 8男性8 4 5加权平均9 5提名课程:领导力发展计划培训时数33,715 22 22,896 6,264由于新冠肺炎只有两个ECAP2021年开始的计划参加人数(唯一)387 216 48


ASML年度报告2021年277我们的人员多样性和包容性描述性别群体性别比例男性/女性在管理职位和监事会中的性别比例1女性男性总女性50总监事会3 5 8 38%62%8 8管理委员会5 5-%100%1 4 5高级管理67 555 622 11%89%283 339 622中层管理363 2,505 2,868 13%87%1,704 1,163 2,868初级管理218 1,170 1,388 16%84%36 1,136 216 1,388其他4,607 19,732 24,339 19%81%5,708 15,311 3,320 24,339 5,258 23,972 29,230%1882%5,745 18,435 5,050 29,230性别比例按行业划分的男性/女性(全时当量)女性男性男性总男性客户支持795 6,596 7,391 11%89%制造和供应链管理1,507,973 7,480 20%80%研发1,733 10,098 11,831 15%85%一般和行政1,099 1,632 2,731 40%60%销售和成熟产品服务116 586 702 17%83%战略供应管理192 515 707 27%73%总计5,442 25,400 30,842 18%82%1。临时员工不包括在员工人数中。我们的人员多样性和包容性描述2019 2020 2021评论按性别划分的劳动力男性/女性(以%为单位)女性16 17 18男性84 83 82总计100 100 100为ASML亚洲工作的国籍数36 35 33欧洲103 108美国82 86 90全球总计118 120 122为ASML工作的外籍人士(以%为单位)是指员工在亚洲6 5欧洲31 32 33美国29 27 28全球总计25 25 26工作的工资总额和具有其他国籍的临时雇员的百分比


ASML年度报告2021 278我们的员工-劳资关系说明2019 2020 2021评论受集体谈判协议覆盖的员工百分比2019 2020 2020我们的人民公平薪酬说明2019 2020 2021评论女性与男性的基本工资比率1 2高级管理层3 103%99%99%的计算方法与2019年相比发生了变化见脚注3.中层管理3 99%98%99%非管理层3 98%98%98%基本工资的女性与男性的比率是自2021年以来按地区1拆分的,包括2020年的比较数字。欧洲-%99%99%亚洲-%96%96%美国-%99%100%女性与男性现金总额的比率1,4现金总额是基本工资加上短期激励。与2019年相比,高级管理人员5 102%99%99%的计算方法已更改见脚注5。中层管理人员5 98%98%99%现金总额为基本工资加短期激励。非管理层5 98%97%98%女性与男性现金总额的比率,按地区1划分为地区自2021年以来,包括2020年的比较数字。欧洲-%97%98%亚洲-%96%96%美国-%99%100%内部薪酬比率(首席执行官与员工薪酬)6 38 38 40有关更多信息,请参阅监事会-薪酬报告1.本报告年度用于计算的基本工资和现金总额由上一个报告年度实际基本工资和支付的现金总额组成。2.2020年,男女基本工资比率的定义发生了变化,计算方法为:平均加权工资女性/平均加权工资男性*100%。2019年,男女基本工资的比例计算为:每级平均工资女性/每级男性平均工资*100%。3.重新计算的2019年高级管理人员男女基本工资比例为99%。重新计算不影响2019年中层管理和非管理人员的PI。4.2020年,男女现金总额比率的定义发生了变化,计算方法为:包括奖金的女性平均加权工资/包括奖金的男性平均加权工资*100%。2019年,男女基本工资的比率为:每级平均工资包括奖金,女性/每级平均工资,包括奖金,男性*100%。5.2019年高级管理层PI女性与男性现金总额重新计算比例为96%。重新计算不影响2019年中层管理和非管理人员的PI。6.内部薪酬比率的计算方法在CEO与平均薪酬(薪酬比率)的关系一节中披露。我们根据监督委员会《荷兰公司治理准则》关于2021年生效的《荷兰公司治理准则》3.4.1.iv节的2020年12月指南修订了我们的内部薪酬比率的计算方法。因此,内部薪酬比率的相对历史数字已被重述,以将社会保障费用包括在内部薪酬比率数字中。在计算中,我们只考虑了薪资雇员,因为这确保了与合并财务报表中披露的数字一致。如果我们将临时工纳入其中,这一比例将会较低,因为他们的平均薪酬较高。


ASML年度报告2021 279我们的人员-员工安全说明2019 2020 2021评论ASML可记录的事故数量0.28 0.18 0.17可记录的事故数量66 46 48死亡人数-按地区划分的可记录的事故数量:亚洲12 12 7欧洲26 19 29美国28 15 12受影响的身体各部位急救事故数量:头部45 37 45眼睛4 7 8肩4 10胸部2 3 2背部17 10 13手臂19 12手80 70 74腿29 18英尺12 19 19其他29 1 12总计182 213-各区域的援助事件:亚洲44 47 34欧洲143 80 112美国54 55 67总计241 182 213按区域划分的预期险些事件数:险些未命中的事件是没有导致受伤的计划外事件,疾病或损害,但有可能造成这种情况亚洲1,031 3,201 1,868欧洲1,498 1,221 1,354美国718 631 991总计3,247 5,053 4,213


ASML年度报告2021 280社区参与说明2019 2020 2021评论学生人数达到8,998 13,378 9,168志愿者的时间投资(小时)-技术推广和校园推广5,445 2,936 1,886志愿者的时间投资(小时)-社区参与7,664 1,333 2,393志愿服务的总成本(x欧元1,000)772 271 283#ASML基金会项目支持17 22 22我们负责供应链的供应链描述2019 2020 2021评论澳大利亚央行对LTSA供应商的行为准则合规合同条款(以%为单位)59%67%76%对供应商的可持续性进行评估(#年分:12-2020年和2021年)由于新冠肺炎的原因,审计已被搁置。澳洲央行自我评估问卷(SAQ)29 59 56澳洲央行自我评估已完成(%)78%88%89%此指标衡量改进计划是否在与供应商商定的到期日之前关闭。改进计划是在上一报告期或当前报告期(S)根据澳大利亚央行SAQ或审计启动的。被确定为所有可持续性要素总体风险水平“高”的供应商(#年)-风险水平是通过澳大利亚央行SAQ和ASML评估确定的,适用于主要的产品相关供应商


ASML年度报告2021 281我们的供应链-供应链说明2019 2020 2021评论供应商总数5,003 4,749 4,657供应商数量,按地区划分:亚洲1,356 1,313 1,319 EMEA(不包括(荷兰)700 684 702荷兰1,620 1,477 1,459北美1,327 1,275 1,177总数5,003 4,749 4,657供应商总数:与产品相关的790 779 772非产品相关的4,213 3,970 3,885总数5,003 4,749 4,657仅一级供应商供应商数量,拆分如下:关键供应商数量221 222 229关键供应商是战略重要性非关键的一级供应商4,782 4,527 4,428关键供应商总数5,003 4,749 4,657按:与产品相关198 188 197非产品相关23 34 32总计221 222 229风险管理范围内的供应商数量212 235 243这包括14个关键的N级供应商采购总支出(以百万欧元为单位)6,683 7,645 9,045每个供应商组的采购支出(以百分比为单位)与产品相关的采购支出66%68%70%非产品相关的采购支出占当地供应商支出的比例(以百分比为单位)我们将‘本地’定义为重要运营地点所在的国家/地区。业务的重要地点是ASML的主要制造基地,它们位于荷兰的维尔德霍温、台湾的林口、圣地亚哥和威尔顿,这两个地方都在美国。Veldhoven 46%47%45%相对较大的供应商支出涉及Carl Zeiss(非本地)林口46%48%50%圣地亚哥89%94%92%Wilton 66%71%64%


ASML年度报告2021年282负责任的商业-商业伦理描述2019 2020 2021评论发言信息总数255 229 396 2020年10月推出了新的行为准则和更新的发言政策。反腐败和贿赂直言不讳信息16 19 37所有直言不讳的信息都没有任何迹象表明违反了反腐败法。人权发声信息58 69 187%完成行为准则在线培训86%88%71%负责任的企业-产品安全说明2019 2020 2021评论百分比已发货的产品类型具有SEMI S2安全指南合规性报告100%100%100%因违反产品设计相关法律法规而被(严重)罚款的数量-负责任的企业-水管理描述2019 2020 2021评论水消耗量(单位:1,000立方米)自2021年以来,Veldhoven 628 658 728圣地亚哥90 80 105 Wilton 90 94 95 Linou 30 28 26 San Jose-21在此指标的范围内。台南--自2021年以来,这一指标的范围为30个。其他--自2021年以来这一指标的范围为36个。其他包括超过250 FTE总和的地点。总供水量838 860 1,041超纯水总消耗量(以1,000立方米为单位)115 127 84只有威德霍温、林口和台南HMI在此指标范围内。其他地点被排除在范围之外,因为还没有关于该指标的报告数据。循环再用水总量(单位%)2.4%1.8%1.2%只有维尔德霍温、林口和台南HMI在此指标范围内。其他地点被排除在范围之外,因为还没有关于该指标的报告数据。水强度71 62 56水强度的计算方法是总用水量(立方米)除以总收入(百万)。


ASML年度报告2021年283实质性评估对话和知识共享在一个创新驱动的行业中非常重要。为此,我们通过各种渠道和组织内的不同级别,持续和公开地与我们的主要利益相关者群体进行沟通。我们的利益相关者是受我们活动影响的各方,或者与我们公司的长期业务成功有直接关系或能够影响我们公司长期业务成功的人。我们的重要性过程我们根据GRI标准制定我们的重要性评估框架,其中包括利益相关者参与和识别、分析和优先排序的原则。我们通过三步法进行重要性评估。步骤1:确定相关方面的输入我们每年更新相关主题的入围列表。这些分析基于对利益攸关方反馈、持续利益攸关方参与、风险和机会的分析,以及对相关行业和全球趋势的审查。主题包括那些对我们的利益相关者决策很重要的主题,对于ASML来说,那些可能在组织、价值链或社会中产生环境、社会或经济影响的主题。国际标准和立法,例如:GRI,ISO 26000,TCFD,欧盟非财务报告指令,行业和媒体分析,例如:澳大利亚央行,行业发展报告,在DJSI ESG分析师的问卷/评估中对我们同行的可持续发展表现进行基准评估,例如:DJSI,可持续发展,ISS ESG评级,CDP,MSCI ESG指数,FTSE4良好的利益相关者参与:定期和不定期的利益相关者沟通,ESG会议和网络的反馈。更多内容请阅读:利益相关者参与。第2步:分析和确定产出的优先顺序我们遵循GRI标准指南,根据利益相关者关注的程度以及我们的业务和运营对环境、社会和经济造成的影响的重要性,对主题的重要性进行评级。我们将长长的主题列表缩小到与我们相关的候选列表。使用现有数据、利益攸关方持续参与的反馈、与高级管理层和管理委员会成员、企业主和其他相关内部利益攸关方(如专题专家)的讨论来衡量这些专题的影响。管理委员会对评估结果进行验证和批准。我们确定了环境、社会和治理主题,这些主题对我们的业务影响最大,也是我们价值链中的利益相关者最关心的。更多请阅读:我们如何创造价值。第三步:确认和实施战略和报告结构重要性评估的结果被用来制定我们的战略,设定长期目标,旨在为我们所有的利益相关者群体创造长期价值。结果还确定了本年度报告的内容,符合GRI定义报告内容的原则。在2018年对可持续发展战略2019-2025年进行的最新评估中,我们确定了17个可持续发展的重要主题,我们将其归类为5个重要的可持续发展主题,以及2个ASML公司特定主题(创新管理和客户亲密度)。这些是与我们的利益攸关方决策最相关的主题,也是反洗钱具有或可能产生最大影响的领域。对于每一个重要主题,我们都确定了我们的雄心,并制定了长期目标(2025年)。我们监控进度,衡量绩效,并定期报告,至少每年在年度报告中报告一次。作为一家致力于以负责任和关怀的方式开展业务的公司,我们还确定了需要解决的其他因素。这些问题包括我们的利益相关者期望我们采取行动的问题或我们有影响的问题。我们已将其归类为“负责任的商业”主题。更多内容请阅读:下面的重要性矩阵。我们目前的可持续发展战略于2018年启动,2019年至2025年期间,重点关注五个战略可持续发展领域。我们公司的发展以及对可持续发展的环境、社会和治理(ESG)方面的透明报告的需求日益增长,这促使我们重新评估2021年的可持续发展战略。为此,我们根据主要的可持续性主题及其对我们业务运营的相对重要性,更新了对2022-2025年剩余时期的重要性评估。我们将从2022年起在我们的报告中实施更新的重要性主题。更多内容请阅读:我们的战略。


阿盟2021年年度报告284我们也支持联合国通过的联合国可持续发展目标(SDGs)中确定的2030年雄心。这些目标旨在保护地球,改善世界各地人民的生活。我们已经制定了我们的战略和目前的努力如何积极支持这些目标。重要性表概述了我们为之作出贡献的五个最相关的可持续发展目标。SDG 9“产业、创新和基础设施”的目标与我们公司的核心相连,因为创新是我们的命脉和推动我们业务的引擎。我们还为可持续发展目标4“优质教育”、可持续发展目标8“体面工作和经济增长”、可持续发展目标12“负责任的生产和消费”和可持续发展目标13“气候行动”做出贡献。在本报告中,我们强调了我们相对于这些可持续发展目标的表现。利益相关者关注的重要性矩阵级别高(E)能源管理(运营)(E)碳足迹(E)气候变化(B)创新管理(B)客户亲密度(E)能源管理(产品)(S)人才吸引和留住(S)人力资本开发(S)员工参与度(S)人权(S)社区参与度(S)职业健康与安全(S)多样性和包容性(S)创新生态系统-初创企业和企业支持(E)废物管理(E)产品管家(E)循环经济-再利用(E)循环经济(S)ESG风险供应链(S)负责任供应链(S)创新伙伴关系低(G)水管理(G)税收战略(G)融资政策(B)卓越运营(G)商业道德与合规(G)信息安全(G)知识产权保护(G)产品安全(G)企业风险管理低高度对反洗钱的影响


ASML 2021年年度报告285个材料主题,主题及其对价值链影响领域材料主题主题GRI主题上游供应商和合作伙伴我们的运营下游客户和社会SDG业务相关创新管理·核心战略·技术和创新·研发·产品路线图N/A塔塔SDG 9客户亲密度·客户反馈调查·运营卓越·客户参与度N/A塔塔环境气候和能源·能效产品·能源消耗EUV·范围1排放·范围2排放·范围3碳足迹·可再生能源·气候变化a.302:能源塔塔塔塔SDG 13循环经济·废物管理-减少·循环经济-再利用·循环经济-回收a.306:废水和废塔塔SDG 12塔式SDG 12社会我们的人民·文化和价值观·员工体验·员工敬业度·雇主劳动力市场品牌·人力资本发展·吸引力和留存·多样性和包容性·劳动实践a.401:就业b.404:培训和教育c.405:治理机构和员工的多样性塔塔SDG 4塔式SDG 8创新生态系统·创新伙伴关系·创新管道·支持启动和升级N/A塔塔SDG 9负责任的供应链·负责任的供应链-供应商可持续发展标准(RBA)和绩效·供应链中的ESG风险a.204:采购实践b.308:供应商环境评估c.414:供应商社会评估塔塔SDG 8除上述材料主题和主题外,我们还有其他利益相关者感兴趣的话题,我们认为这些是良好的公司治理和实践,但对我们的利益相关者来说不那么重要,对ASML的影响也不大。我们将这些定义为负责任的商业主题:商业道德、法律合规、反贿赂和腐败、竞争法、隐私保护、人权、信息安全、知识产权保护、产品安全、水管理、运营卓越、融资政策和税收政策。我们以更简明的方式报道这些主题。经济表现和公司治理是根据GRI标准的一般披露分类的主题。虽然它们没有在重要性矩阵中映射,但相关信息在我们公司的年报中披露。B.305:排放


ASML年度报告2021年286管理可持续发展和工程再利用部门EHS部门企业房地产采购和供应链人力资源ESG可持续发展委员会管理委员会ESG可持续发展我们通过一个强大的框架管理ESG可持续发展,由几个层面管理以推动问责和执行,包括管理委员会、ESG可持续发展委员会、ESG可持续发展办公室、专题特定行动所有者和专家。我们的管理委员会批准并签署了我们的ESG可持续发展战略。他们负责制定政策和监督ASML的ESG可持续战略,以及其遵守法律和报告要求的情况。这包括处理与该战略有关的主要风险和机会。管理委员会定期开会,就相关问题提供指导,包括与气候有关的风险和机会。ESG可持续发展委员会(SC)由管理委员会成员和高级管理人员组成,由我们的首席执行官和首席运营官领导。ESG SC的目标是在公司范围内优化协调和调整。ESG SC负责制定全公司范围的ESG可持续发展政策,并全面负责监控和审查ESG可持续发展关键绩效指标,以跟踪进度。这还包括应对气候变化问题的倡议和行动。ESG SC同样注重创造积极的社会和环境影响。我们的ESG可持续发展办公室负责监督和实施我们的ESG可持续发展战略,并为ESG SC提供便利,例如促进可持续发展管理政策和目标的实现。此外,可持续发展办公室的任务是确定可能影响各种短期、中期和长期可持续发展目标的关键问题、风险和机遇(包括与气候变化有关的问题)、全球趋势和(同行)最佳做法。每个材料和负责的业务主题都分配给一名高级管理人员,并由一名主题专家提供支持。每位高级管理人员负责ESG可持续发展战略的关键绩效指标,并负责根据商定的目标监测进展情况,并确保有足够的可用资源来实现各项目标和目标。如果进展不足,将在业务业绩审查会议上讨论这一问题,并在ESG SC会议上提出。此外,我们通过企业风险管理(ERM)程序识别和评估与气候有关的风险和机遇的影响。我们从自上而下(公司级)和自下而上(组织和流程级)两个角度评估风险。我们的风险管理和控制系统基于识别可能影响我们的运营、业务连续性和财务目标的外部和内部风险因素。它包含一个多学科评估、监测、报告和业务审查系统。主要的价值链阶段包括但不限于我们的直接运营、上游(我们的供应链)和下游(我们的客户)价值链。我们在可持续发展领域的业绩,如重要性表中所述,是我们管理委员会和高级管理层长期激励计划的一部分。我们通过以年度道琼斯可持续发展指数(DJSI)的结果为基准来衡量我们的整体可持续发展表现,道琼斯可持续发展指数评估了20多个ESG主题,并与半导体行业的最佳产品进行了比较。更多内容请阅读:薪酬报告。


ASML年度报告2021年287利益相关者参与我们将利益相关者定义为能够影响我们的业务或可能受到我们业务影响的个人或团体或组织。我们考虑五个利益相关者群体:股东、客户、供应商(包括承包商)、员工和社会(例如,当地社区、政府和当局、行业工会、劳工组织、其他协会、媒体和非政府组织)。持续的利益相关者参与,即我们拥抱开放对话和知识共享,在一个创新驱动的行业中非常重要,有助于我们确定需要改进的领域。我们通过各种渠道和不同层面与利益相关者进行沟通。参与的方法将根据利益攸关方、关注的问题和参与的目的而有所不同。下表概述了我们的主要利益相关者群体、我们与他们沟通的方式以及与他们最相关的主题概述。股东目标:这一群体由现有股东、潜在的主动和被动投资者、金融和ESG分析师组成。我们的目标是帮助他们了解我们的(长期)投资机会。我们与他们就我们的财务增长战略和机会、财务业绩和前景、股东回报以及我们的可持续发展战略进行沟通。主要沟通渠道和频率我们重要的主要参与主题·与投资者关系部门的直接互动(例如,电话、ESG业绩调查、电子邮件交换、现场访问-在ASML和/或投资者)-


每天


·年度股东大会-


每年一次


·投资者日--


每两年一次


·公司季度业绩展示和新闻稿-


每季度


·各种投资者会议和路演--


在发生时


·各种可持续性问卷、评估和调查反馈--


一旦发生,其中大部分是每年重复发生的。


·财务业绩·资本回报·市场前景·产品和终端市场·客户采用率·地缘政治·商业概要·公司路线图和产品组合·ESG目标:人力资本发展、碳足迹、废物、回收、能源消耗、供应链中的社会责任·董事会多样性和薪酬·财务业绩·技术和创新生态系统·客户亲密度·我们的员工·我们的供应链·循环经济·气候和能源·我们如何管理风险·负责任的业务·治理客户目的:我们是一家领先的芯片制造设备制造商。我们使我们的客户能够创建定义芯片上电子电路的图案。我们的客户是世界领先的微芯片制造商,我们的成功与他们的联系密不可分。主要沟通渠道和频率我们的重要性·客户反馈调查中的主要参与主题-


每两年一次


·通过客户团队和区域质量经理进行直接互动·客户会议的声音-


每月一次


·技术评审会议(我们的首席技术官、产品经理、其他高管和我们的主要客户之间)-


每两年一次


·执行评审会议(ASML高管和主要客户之间)-


每两年一次


·不同的技术研讨会和特别活动-[在发生时]·产品和技术·客户路线图·创新·客户支持、拥有成本和质量·ESG目标:碳足迹、能源消耗、供应链中的社会责任·技术和创新生态系统·客户亲密度·卓越运营·负责任的供应链·循环经济·气候和能源[ASML年度报告2021年288供应商目标:我们在很大程度上依赖我们的供应商网络来实现我们努力的创新。我们的目标是确保我们获得满足短期和长期需求所需的产品、材料和服务。为此,我们投资发展我们的供应格局,以帮助供应商满足我们在质量、物流、技术、成本和可持续性方面的要求。我们致力于建立一个负责任和可持续发展的供应链。主要沟通渠道和频率主要参与主题在我们的重要性·ASML的供应商日-]每年一次[·通过供应商客户团队/采购客户经理直接互动-]每天[·供应商审核-]在发生时[·现场参观-]在发生时[·通讯-]每月一次[·澳大利亚央行自我评估问卷-]每年一次[·ASML畅所欲言--]在发生时[·产品和技术·QLTCS·供应商绩效和风险管理·IP/信息安全·业务连续性·澳洲央行合规(道德、劳动规范、健康安全和环境)·稀缺(自然)资源、3TG、危险物质等·循环性(重复使用、回收、翻新)·范围3碳足迹·技术和创新生态系统·我们的供应链·负责任的供应链·负责任的业务(包括人权)·循环经济·气候和能源员工目的:我们希望提供一个统一的方向,并将ASML的身份深入组织。为此,我们的目标是帮助人们接受我们的价值观,熟悉我们的战略和宗旨,并维护我们的行为准则原则。员工敬业度对我们公司的成功非常重要,雇主品牌使我们能够吸引人才。我们致力于良好的劳动实践和尊重人权。主要沟通渠道和频率我们的重要性·员工敬业度调查中的主要敬业度主题-]每年一次[·培训和发展计划,包括员工评估/反馈-]在发生时[·ASML畅所欲言--]在发生时


·劳资委员会--[每季度]·员工网络,如青年ASML、女性@ASML、老年人@ASML、粉色ASML-[在发生时]·内部沟通和意识(例如,内联网、道德计划、部门员工会议、与董事会成员共进午餐)-[每天]·新员工入职计划--[在发生时]·全体员工会议和高级管理层会议-[每年一次]·培训和发展·行为准则/道德·战略·多样性和包容性·劳动条件·活力·人权·可持续发展目标和业绩·技术和创新生态系统·我们的员工(员工发展、劳资关系、公平薪酬)·负责任的供应链·循环经济·气候和能源·负责任的企业[ASML年度报告2021年289社会目的:我们致力于以负责任和关怀的方式开展业务,为我们的员工和我们经营的更广泛的社区服务。作为一家全球技术领导者和雇主,我们在我们运营的当地社区发挥着积极的作用。我们定期与政府和(地方)当局、行业工会和协会、(当地)社区、大学、媒体和非政府组织接触。主要交流渠道和频率重要行业工会和协会的主要参与主题·员工发展·慈善、赞助和捐赠·创新合作·加强行业、社会和我们经营场所的创新·社会和环境责任·促进STEM教育·当地发展·技术和创新生态系统·客户亲密度·社区参与·负责任的商业(人权、道德、隐私、ABC政策等)·我们的员工(员工发展、劳资关系、公平薪酬)·气候和能源·循环经济·我们如何管理风险·成员会议和技术论坛(例如澳大利亚央行、SEMI、FME、VNO-NCW、SPIE等)-]每月/发生时[·成员就标准进行协商--]在发生时[·智囊团-]在发生时[政府和当局·与税务当局对话-]每月/发生时[·相关的欧盟圆桌讨论(半导体行业或创新)-]在发生时[·合规性报告-]每月/发生时[·与政府、当局和市政当局积极对话--]在发生时[社区、大学、媒体、非政府组织、其他·www.asml.com-]每天[·社区参与方案(在中学和大学、文化机构、当地社区等推广STEM)--]在发生时[·年轻的高科技社区(HighTechXL、Make Next Platform、Startup Alliance)-]每天/在发生时


·公司访问-[在发生时]·新闻稿、采访、接洽电话/会议等-[在发生时]ASML年报2021年290其他附录[2022年4月29日公布2022年第一季度业绩2022年4月29日年度股东大会2022年7月20日公布2022年第二季度业绩2022年10月19日公布2022年第三季度业绩ASML的财政年度截至2022年12月31日投资者关系ASML投资者关系向投资者和金融分析师提供有关公司及其商机的信息。我们的年度报告、季度新闻稿和其他信息也可以在我们的网站上获得。]ASML年度报告2021年292附录-ASML联系信息公司总部6501 5504博士荷兰Veldhoven博士邮寄地址:P.O.Box 324 5500 AH Veldhoven荷兰投资者关系部电话:+31 40 268 3938电子邮件:Investor.Relationship@asml.com欲了解更多联系信息,请访问www.asml.com。[ASML年度报告2021年293次修订]ASML年度报告2021年294名称描述0-9 3TG锡、钽、钨和黄金ADAS高级驾驶辅助系统AFM荷兰金融市场管理局(Autoriteit financiële Markten)AGM年度股东大会AI人工智能AIoT人工智能物联网年度报告20-F ARCNL纳米光刻高级研究中心ARCNL年度报告Arf Argon氟化物Arfi氟化物浸入式ASC会计准则编纂ASML Holding N.V.和/或其任何子公司和/或合作伙伴的任何投资ASML基金会是一家与ASML有密切联系的独立慈善机构,支持ASML运营地区针对4-18岁弱势儿童的教育活动。ASML优先股基金会Stichting Pferente Aandelen ASML B BAPA双边预定价协议BREEAM管理委员会BREEAM建筑研究机构环境评估方法Brion Brion Technologies,Inc.C CAGR佳能佳能Kabushiki Kaisha佳能交叉许可协议ASML和佳能之间的全球专利交叉许可协议涉及半导体光刻CAPEX在财产、厂房和设备方面的增加加上无形资产的增加以及使用权资产(经营和财务)的增加。资本资源国际资本研究中心定义的资本资源包括:金融、制造业、智力、人力、社会和自然资源。Carl Zeiss SMT Carl Zeiss SMT GmbH CCIP客户共同投资计划CCPA加州消费者隐私法案(美国)CDP碳披露项目首席执行官CFO首席财务官CGU现金生成单位CGU ASML不包括CGU聚合物光源洁净室硅片制造厂的中心部分,用于加工晶片,环境经过精细控制,以消除灰尘和其他污染物。CMO首席营销官二氧化碳守则荷兰公司治理行为守则行为守则道德和行为守则ASML Holding N.V.计算光刻利用强大的算法和计算机对制造过程进行建模,通过故意使掩模图案变形以补偿光刻和构图过程中发生的物理和化学效应来优化掩模图案。首席运营官新冠肺炎冠状病毒病2019年CRC ASML的企业风险委员会CRMC资本研究与管理公司首席技术官Cmer Cmer Inc.,Cmer LLC及其子公司研发与工程开发德勤德勤会计师事务所[ASML年度报告2021 295名称描述D&I多样性和包容性DJSI道琼斯可持续发展指数DRAM动态随机存取存储器DUV深紫外线E EHS环境,健康和安全EHS能力中心ASML内部的一个小组,定义EHS标准,收集最佳实践,并帮助管理人员实施这些标准EMEA欧洲、中东和非洲每股收益ERM企业风险管理ESCAN ASML的电子束晶片检测系统系列用于有针对性的在线缺陷检测ESG为环境、信用评级决定中使用的社会和治理(ESG)因素ETR有效税率欧盟欧盟-IFRS国际财务报告标准欧盟采用的EURIBOR欧元银行间同业拆放利率欧洲债券以欧元计价的债券荷兰中央证券托管机构(Nederland Centraal Instituut Voor Giraal Effectenverkeer B.V.)阿姆斯特丹泛欧交易所阿姆斯特丹泛欧交易所N.V.极紫外光光刻一种使用波长13.5纳米的极紫外光的光刻技术。这是目前光刻的前沿,使能16纳米及以上的技术节点。它仅用于具有最小要素的最关键的层。交易所法案1934年美国证券交易法执行委员会F FAB半导体制造厂FAT工厂验收测试FDII外国衍生无形收入以构成给定微芯片层图案的元素为特征。闪存一种用于存储和传输信息的非易失性存储器。Foundry是逻辑芯片的合同制造商FTES全职等价物FTSE4Good系列道德投资股票市场指数由富时集团G GAAP于2001年推出公认会计原则GDPR一般数据保护法规GSI全球电子可持续发展倡议温室气体GILTI全球无形低收入GPU图形处理单位GRI全球报告倡议GRI标准GRI可持续发展报告标准H H2氢硬盘驱动器High-NA高数值孔径-特别是下一代EUV光刻平台(EUV 0.55 NA)HMI ASML的一系列电子束(电子束)晶圆检验和计量系统的品牌名称光刻通过将光刻系统与计算建模和晶片计量解决方案(实时分析和控制制造过程)相集成来优化整个微芯片制造过程并实现芯片技术中可负担的规模的能力HTSC High Tech Systems Center I IAS国际会计标准IC集成电路IDM集成器件制造商IIRC国际集成报告委员会I-Line灯波长为365 nm,由汞蒸汽灯产生,用于某些光刻系统,国际劳工组织]ASML年度报告2021年296名称描述成像使用光imec InterUniversity-Elektronica Centrum浸没式光刻技术将图案转移到光致抗蚀剂上到晶片上的能力一种光刻技术,它使用透镜和晶片之间的一池超纯水来增加透镜的数值孔径(收集和聚焦光的能力)。这提高了光刻系统的分辨率和焦深。客户群管理网络服务和现场选项销售英特尔公司物联网(IoT)嵌入传感器、执行器、电子设备和允许对象收集和交换数据的软件的物理对象网络知识产权ISO国际标准化组织K KLA-Tencent或KLA-Tencent公司KPI关键性能指标毕马威会计师事务所N.V.KRF氟化氪千瓦时L LGBTQI+女同性恋者、男同性恋者、双性恋者、变性人、同性恋者和两性LIBOR伦敦银行间提供的速率光刻,或称光刻,是微芯片制造过程中使用光在硅片逻辑集成设备上绘制部件,如微处理器、微控制器和GPU的过程。也指生产此类设备的公司LTI长期激励M MBA工商管理硕士存储微芯片,如NAND闪存和DRAM,用于存储信息。也指制造此类芯片的公司。毫米毫米(千分之一米)MPS成熟产品和服务MSCI摩根士丹利资本国际N NA数值孔径NAND当收到一个输入或没有输入时给出输出的二进制逻辑运算符;非与纳斯达克非政府组织尼康股票市场非政府组织尼康公司NL荷兰纳米(十亿分之一米)节点芯片制造行业更小特征和更先进微芯片路线图的垫脚石,描述和区分几代半导体制造技术及其制造的芯片。具有较小尺寸的节点指的是更先进的技术。非公认会计原则未按照最具可比性的公认会计原则计量或列报的公司历史或未来财务业绩、财务状况或现金流。NRE非循环工程NXE用于EUV光刻的原始TWINSCAN系统平台NXT原始TWINSCAN系统平台的增强版本提供显著改进的覆盖和生产率O OCI其他全面收入ODM原始设计制造商OECD经济合作与发展组织OEM原始设备制造商One ASML的我们的新企业计划,旨在改进我们的业务流程和IT企业管理系统覆盖芯片结构的层到层对齐P图案保真度一个全面衡量所需图案在晶片上复制的情况[ASML年度报告2021年297名称描述模式保真度控制一种全面的方法来控制大批量制造先进微芯片的整个过程,旨在提高整体产量。它从生产设备和计算光刻工具中提取数据,使用机器学习等技术进行分析,以提供实时反馈。在表面形成图案的过程(以制造微芯片)PGP产品生成过程PME Bedrijfstakpensioenfonds Metalektro优先股基金会Stichting Pferente Aandelen ASML优先股选择权收购我们资本Q QLTCS质量、物流、技术、成本和可持续性研发研究和开发澳大利亚负责商业联盟RC ASML的薪酬委员会REACH登记、评估、化学品的授权和限制可回收金额较大的资产的公允价值减去销售成本及其使用价值薪酬政策适用于ASML Holding N.V.董事会的薪酬政策一块包含每次暴露转移到晶片上的特征图案ROAIC平均投资资本RoHS有害物质限制S三星电子公司SAQ自我评估问卷萨班斯-奥克斯利法案2002年萨班斯-奥克斯利法案SAT现场验收测试SB ASML监事会范围1二氧化碳排放来自组织拥有或控制的资源的直接二氧化碳排放范围2二氧化碳排放间接二氧化碳排放量因能源和组织消耗范围3二氧化碳排放组织价值链中发生的所有其他间接二氧化碳排放可持续发展联合国可持续发展目标美国证券交易委员会美国证券交易委员会半导体设备和材料国际半导体S2半S2-安全指南,半导体制造设备的环境、健康和安全指南,半导体制造设备的一套基于性能的EHS注意事项SemS23 SemS23半导体制造设备使用的节能、实用和材料指南,收集、分析和报告高耗能半导体制造设备实用数据的指南SG&A销售,一般和行政缩减为更先进的芯片SoC系统开发更小晶体管的过程SPE股东三家银行组成的财团,目的是租赁ASML位于维尔德霍温的总部S和SC采购和供应链SSD固态驱动器SSRA安全风险评估STEM科学,技术,工程和数学STI短期激励SWOT优势、劣势、机会和威胁T TC ASML的技术委员会TCFD气候相关财务披露特别工作组TCJA减税和就业法案TDC总直接薪酬技术能力技术专业知识在我们员工中的能力和传播,以及它们在我们的工艺和运营中的嵌入程度吞吐量一个系统每小时可以处理的晶片数量TJ太焦耳(一万亿焦耳)]ASML年报2021年298名称描述晶体管是微芯片的基础构建块半导体器件台积电台积电有限公司TWINSCAN ASML独特的光刻系统平台,具有两个完整的晶片平台,可以在绘制一个晶片的同时曝光另一个晶片-实现更高的精度和吞吐量。U UNGP联合国指导原则美国US GAAP普遍接受的会计原则在美利坚合众国美国ITC美国国际贸易委员会VLSI研究公司增值税VLSI研究公司VNO-NCW荷兰工业和雇主联合会副总裁总裁W WACC加权平均资本成本晶圆检查过程定位和分析晶圆上个别芯片缺陷的过程计量学,测量硅片上图案质量的过程波长,波峰之间的距离,如光。光刻系统中使用的光的波长越短,系统可以分辨的特征就越小。网站www.asml.com荷兰ASML工作委员会工作委员会YYYELDSTAR ASML基于衍射的晶片计量平台Z蔡司卡尔蔡司股份公司[on occurrence] Community, universities, media, NGOs, other • www.asml.com - [daily] • Community engagement program (STEM promotion at secondary schools and universities, cultural institutions, local community, etc.) - [on occurrence] • Young high tech community (HighTechXL, Make Next platform, Startup Alliance) - [daily/on occurrence] • Company visit - [on occurrence] • Press release, interviews, engagement calls/meetings, etc. - [on occurrence]


ASML ANNUAL REPORT 2021 290 Other appendices


ASML ANNUAL REPORT 2021 291 Appendix - Financial calendar and investor relations Financial Calendar April 20, 2022 Announcement of First Quarter results for 2022 April 29, 2022 Annual General Meeting July 20, 2022 Announcement of Second Quarter results for 2022 October 19, 2022 Announcement of Third Quarter results for 2022 Fiscal Year ASML’s fiscal year ends on December 31, 2022 Investor Relations ASML Investor Relations supplies information regarding the company and its business opportunities to investors and financial analysts. Our annual reports, quarterly releases and other information are also available on our website.


ASML ANNUAL REPORT 2021 292 Appendix - ASML contact information Corporate Headquarters De Run 6501 5504 DR Veldhoven The Netherlands Mailing Address P.O. Box 324 5500 AH Veldhoven The Netherlands Investor Relations phone: +31 40 268 3938 email: investor.relations@asml.com For additional contact information please visit www.asml.com.


ASML ANNUAL REPORT 2021 293 Denitions


ASML ANNUAL REPORT 2021 294 Name Description 0-9 3TG Tin, tantalum, tungsten and gold A ADAS Advanced driver-assistance systems AFM The Dutch Authority for the Financial Markets (Autoriteit Financiële Markten) AGM Annual general meeting AI Artificial intelligence AIoT Artificial intelligence of things Annual Report Annual Report on Form 20-F ARCNL Advanced Research Center for Nanolithography ArF Argon fluoride ArFi Argon fluoride immersion ASC Accounting Standards Codification ASML ASML Holding N.V. and / or any of its subsidiaries and / or any investments in associates ASML Foundation An independent charity with strong ties to ASML that supports educational initiative for disadvantaged 4-18 year olds in regions where ASML operates. ASML Preference Shares Foundation Stichting Preferente Aandelen ASML B BAPA Bilateral advance pricing agreements BEAT Base erosion anti-abuse tax BoM Board of Management BREEAM Building Research Establishment Environmental Assessment Method Brion Brion Technologies, Inc. C CAGR Compound annual growth rate Canon Canon Kabushiki Kaisha Canon Cross-License Agreement A global patent cross-license agreement between ASML and Canon related to semiconductor lithography CAPEX Additions in property, plant and equipment plus additions in intangible assets plus additions in right-of-use assets (Operating and finance). Capital resources The capitals resources as defined by the IIRC are referred to as: financial, manufacturing, intellectual, human, social and natural. Carl Zeiss SMT Carl Zeiss SMT GmbH CCIP Customer Co-investment Program CCPA California Consumer Privacy Act (US) CDP The Carbon Disclosure Project CEO Chief Executive Officer CFO Chief Financial Officer CGU Cash-generating unit CGU ASML ASML excluding CGU Cymer Light Sources Cleanroom The central part of a wafer fab where wafers are processed, and the environment is minutely controlled to eliminate dust and other contaminants. CMO Chief Marketing Officer CO2 Carbon dioxide Code The Dutch Corporate Governance Code Code of Conduct Code of ethics and conduct Company ASML Holding N.V. Computational lithography The use of powerful algorithms and computer modeling of the manufacturing process to optimize reticle patterns by intentionally deforming them to compensate for physical and chemical effects that occur during lithography and patterning. COO Chief Operating Officer COVID-19 Coronavirus disease 2019 CRC ASML’s corporate risk committee CRMC Capital Research & Management Company CTO Chief Technology Officer Cymer Cymer Inc., Cymer LLC and its subsidiaries D D&E Development and engineering Deloitte Deloitte Accountants B.V.


ASML ANNUAL REPORT 2021 295 Name Description D&I Diversity and inclusion DJSI Dow Jones Sustainability Index DRAM Dynamic Random Access Memory DUV Deep ultraviolet E EHS Environment, health and safety EHS Competence Center A group within ASML that defines EHS standards, gathers best practices and helps managers implement them EMEA Europe, the Middle East and Africa EPS Earnings per share ERM Enterprise risk management eScan ASML’s e-beam wafer inspection system family for targeted in-line defect detection ESG score An integrated scoring system for environmental, social and governance (ESG) factors used in credit rating decisions ETR Effective tax rate EU European Union EU-IFRS International Financial Reporting Standards as adopted by the European Union EURIBOR Euro Interbank Offered Rate Eurobond A bond denominated in Euros Euroclear Nederland The Dutch Central Securities Depository (Nederlands Centraal Instituut voor Giraal Effectenverkeer B.V.) Euronext Amsterdam Euronext Amsterdam N.V. EUV lithography A lithography technology that uses extreme ultraviolet light with a wavelength of 13.5 nm. This is currently the cutting edge of lithography, enabling technology nodes of 16 nm and beyond. It is used for only the most critical layers with the smallest features. Exchange Act US Securities Exchange Act of 1934 ExCom Executive Committee F Fab Semiconductor fabrication plant FAT Factory acceptance test FDII Foreign-derived intangible income Feature The elements that make up the pattern for a given layer of a microchip. Flash A type of non-volatile memory used for storing and transferring information. Foundry A contract manufacturer of logic chips FTEs Full-time equivalents FTSE4Good Series of ethical investment stock market indices launched in 2001 by the FTSE Group G GAAP Generally accepted accounting principles GDPR General data protection regulation GeSI Global e-Sustainability Initiative GHG Greenhouse gas GILTI Global intangible low-tax income GPU Graphics processing unit GRI Global Reporting Initiative GRI standards GRI sustainability reporting standards H H2 Hydrogen HDD Hard disk drive High-NA High numerical aperture – specifically a next-generation EUV lithography platform (EUV 0.55 NA) HMI The brand name for ASML's range of electron beam (e-beam) wafer inspection and metrology systems Holistic lithography The ability to optimize the entire microchip manufacturing process and enable affordable scaling in chip technology by integrating lithography systems with computational modeling and wafer metrology solutions (analyzing and controlling the manufacturing process in real time) HTSC High Tech Systems Center I IAS International Accounting Standards IC Integrated circuit IDM Integrated device manufacturer IIRC International Integrated Reporting Council i-line Light with a wavelength of 365 nm, generated by mercury vapor lamps and used in some lithography systems ILO International Labor Organization


ASML ANNUAL REPORT 2021 296 Name Description Imaging The ability to transfer a pattern to the photoresist on to a wafer using light imec Interuniversitair Micro-Elektronica Centrum Immersion lithography A lithography technique that uses a pool of ultra-pure water between the lens and the wafer to increase the lenses numerical aperture (ability to collect and focus light). This improves both the resolution and depth of focus for the lithography system. Installed Base Management Net service and field option sales Intel Intel Corporation Internet of Things (IoT) A network of physical objects embedded with sensors, actuators, electronics and software that allow the objects to collect and exchange data IPR Intellectual property rights ISO International Organization for Standardization K KLA-Tencor KLA-Tencor Corporation KPI Key performance indicator KPMG KPMG Accountants N.V. KrF Krypton fluoride kWh Kilowatt-hour L LGBTQI+ Lesbian, gay, bisexual, transgender, queer and intersex LIBOR London Interbank Offered Rate Lithography Lithography, or photolithography, is the process in microchip manufacturing that uses light to pattern parts on a silicon wafer Logic Integrated devices such as microprocessors, microcontrollers and GPUs. Also refers to companies that manufacture such devices LTI Long-term incentive M MBA Master of Business Administration Memory Microchips, such as NAND Flash and DRAM, that store information. Also refers to companies that manufacture such chips. mm Millimeter (one thousandth of a meter) MPS Mature Products and Services MSCI Morgan Stanley Capital International N NA Numerical aperture NAND A binary logical operator that gives an output when it receives one or no input; a composite of ‘NOT AND’ NASDAQ NASDAQ Stock Market LLC NGO Non-governmental organization Nikon Nikon Corporation NL The Netherlands nm Nanometer (one billionth of a meter) Node A steppingstone in the chipmaking industry's roadmap for smaller features and more advanced microchips, describes and differentiates generations of semiconductor manufacturing technologies and the chips made with them. Nodes with “smaller sizes” refer to more advanced technologies. Non-GAAP A company’s historical or future financial performance, financial position, or cash flows that are not calculated or presented in accordance with the most comparable GAAP measure. NRE Non-recurring engineering NXE The original TWINSCAN system platform for EUV lithography NXT An enhanced version of the original TWINSCAN system platform offering significantly improved overlay and productivity O OCI Other comprehensive income ODM Original design manufacturer OECD Organization for Economic Co-operation and Development OEM Original equipment manufacturer ONE ASML’s Our New Enterprise program, which aims to improve our business processes and IT enterprise management system Overlay The layer-to-layer alignment of chip structures P Pattern fidelity A holistic measure of how well the desired pattern is reproduced on the wafer


ASML ANNUAL REPORT 2021 297 Name Description Pattern fidelity control A holistic approach to controlling the whole process of manufacturing advanced microchips in high volumes that aims to improve overall yields. It draws data from production equipment and computational lithography tools, analyzing it with techniques such as machine learning to provide real-time feedback. Patterning The process of creating a pattern in a surface (to build microchips) PGP Product generation process PME Bedrijfstakpensioenfonds Metalektro Preference shares foundation Stichting Preferente Aandelen ASML Preference share option An option to acquire cumulative preference shares in our capital Q QLTCS Quality, logistics, technology, cost and sustainability R R&D Research and development RBA Responsible Business Alliance RC ASML’s Remuneration Committee REACH Registration, evaluation, authorization and restriction of chemicals Recoverable amount The greater out of an asset’s fair value less costs to sell and its value in use Remuneration policy The remuneration policy applicable to the Board of Management of ASML Holding N.V. Reticle A plate containing the pattern of features to be transferred to the wafer for each exposure ROAIC Return on average invested capital RoHS Restriction of hazardous substances S Samsung Samsung Electronics Corporation SAQ Self-assessment questionnaire Sarbanes-Oxley Act The Sarbanes-Oxley Act of 2002 SAT Site acceptance test SB ASML’s Supervisory Board Scope 1 CO2 emissions Direct carbon dioxide emissions from resources an organization owns or controls Scope 2 CO2 emissions Indirect carbon dioxide emissions due to the energy and organization consumes Scope 3 CO2 emissions All other indirect carbon dioxide emissions that occur in an organization’s value chain SDG United Nations Sustainable Development Goals SEC The United States Securities and Exchange Commission SEMI Semiconductor Equipment and Materials International SEMI S2 SEMI S2 – Safety Guideline, Environmental, Health, and Safety Guideline for Semiconductor Manufacturing Equipment, a set of performance-based EHS considerations for semiconductor manufacturing equipment SEMI S23 SEMI S23 – Guide for Conservation of Energy, Utilities, and Materials Used by Semiconductor Manufacturing Equipment, guidelines for collecting, analyzing, and reporting energy-consuming semiconductor manufacturing equipment utility data SG&A Selling, general and administrative Shrink The process of developing smaller transistors for more advanced chips SoC System on a chip SPE Shareholders A syndicate of three banks for the purpose of leasing ASML’s headquarters in Veldhoven S&SC Sourcing and supply chain SSD Solid-state drive SSRA Safety risk assessment STEM Science, technology, engineering and mathematics STI Short-term incentive SWOT Strengths, weaknesses, opportunities and threats T TC ASML’s Technology Committee TCFD Task Force on Climate-related Financial Disclosures TCJA Tax Cuts and Jobs Act TDC Total direct compensation Technical competence The capabilities and spread of technical expertise among our people, and the extent to which they are embedded in our processes and operations Throughput The number of wafers a system can process per hour TJ Terajoule (one trillion joules)


ASML ANNUAL REPORT 2021 298 Name Description Transistor A semiconductor device that is the fundamental building block of microchips TSMC Taiwan Semiconductor Manufacturing Company Ltd. TSR Total shareholder return TWINSCAN ASML’s unique lithography system platform, with two complete wafer stages to allow one wafer to be mapped while another is being exposed - enabling higher accuracy and throughput. U UNGP United Nations guiding principles US United States US GAAP Generally accepted accounting principles in the United States of America US ITC United States International Trade Commission V VAT Value-added tax VLSI VLSI Research Inc. VNO-NCW The Confederation of Netherlands Industry and Employers VP Vice president W WACC Weighted average cost of capital Wafer inspection The process of locating and analyzing individual chip defects on a wafer Wafer metrology The process of measuring the quality of patterns on a wafer Wavelength The distance between two peaks of a wave such as light. The shorter the wavelength of light used in a lithography system, the smaller the features the system can resolve. Website www.asml.com Works Council Works Council of ASML Netherlands B.V. Y YieldStar ASML's diffraction-based wafer metrology platform Z Zeiss Carl Zeiss AG